一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索
查看: 5948|回复: 4
收起左侧

TimeQuest就一定要搞定——时序分析基本公式

[复制链接]
发表于 2011-2-10 13:37 | 显示全部楼层 |阅读模式
以下内容译自Quartus II Version 7.0 Handbook, Volume 3:Verification的6-28:Clock Analysis部分。
& {+ X6 n* ?! @! H: d
1 @. Z! _) ?8 `; H5 v. y+ KTimeQuest静态时序分析的对象包括:寄存器和寄存器之间的路径、I/O之间、I/O和寄存器之间的路径、异步复位和寄存器之间的路径。TimeQuest根据Data Arrival Time和Data Required Time计算出时序余量(Slack)。当时序余量为负值时,就发生了时序违规(Timing Violation)。
& x; w5 ?' o# }( X3 M+ E需要特别指出的一点是:由于时序分析是针对时钟驱动的电路进行的,所以分析的对象一定是“寄存器-寄存器”对。在分析涉及到I/O的时序关系对时,看似缺少一个寄存器分析对象,构不成“寄存器-寄存器” 对,其实是穿过FPGA的I/O引脚,在FPGA外部虚拟了一个寄存器作为分析对象。
; `( ~$ {. _/ f: P7 Z( G9 E# W
+ j* k3 T# A5 R& ?2 Q5 u. ?

7 Z# A& U1 w+ y9 h$ o$ O* Q一、 建立时间(Setup Time)检查:5 h6 i. Y1 u7 p" H0 M/ n
遵循的原则是信号从Launch edge开始计时,经过一系列的时序路径,到达后级寄存器的数据输入Pin的速度不能太慢,时间不能太长,否则会侵占后级寄存器数据输入Pin相对于Latch edge的建立时间。刚好满足后级寄存器建立时间的数据到达时间是Data Required Time(相对于Latch edge计算),实际的数据到达时间是Data Arrival Time(相对于Launch edge计算)。显然,在建立时间检查中,Data Arrival Time要小于Data Required Time,否则就会造成建立时间违规。也就是说,Data Required Time是Data Arrival Time的最大值。二者之差就是建立时间的时序余量。8 \+ j! r* }9 g
* P& r: `0 m6 d- u/ T3 ^% x0 _9 k$ O8 Q
1.jpg / E- r5 H" L3 Z6 ]. u

/ |% ]; K8 P% U& U* C6 U- l2 V1)寄存器-寄存器(Register-to-Register)路径检查:( _% W- s7 X/ Y4 g) v* X
Clock Setup Slack = Data Required Time – Data Arrival Time% Q: F( ~& |8 p# ?* L6 ]
Data Arrival Time = Launch Edge + Clock Network Delay Source Register +μtco + Register-to-Register Delay  a& [8 x2 I. n1 z; M4 v
Data Required Time = Clock Arrival Time – μtsu – Setup Uncertainty$ ]" G+ t; O! T: j1 D4 N& f
Clock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
  }/ a  a* l# n2)输入引脚-寄存器(Pin-to-Register)路径检查:
$ Z! G% c7 A( G: [, hClock Setup Slack Time = Data Required Time – Data Arrival Time
- X# T: w8 N2 d8 L+ @  e% j* B0 a; VData Arrival Time = Launch Edge + Clock Network Delay to Source Register + Input Maximum Delay of Pin + Pin-to-Register Delay
9 [' F: O7 L2 \3 G: h* \" \1 VData Required Time = Clock Arrival Time – μtsu
0 ~( v0 G5 F! \' HClock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
: K! I5 s* ^8 S& X1 I9 H( R8 B/ Z2 I5 \3) 寄存器-输出引脚(Register-to-Pin)路径检查:
, M# U' w) N+ c! a: Y" dClock Setup Slack Time = Data Required Time – Data Arrival Time
( M  {4 {1 s/ PData Arrival Time = Launch Edge + Clock Network Delay to Source Register + μtco + Register-to-Pin Delay4 J0 M- t# @  `' Z$ u4 g- U
Data Required Time = Clock Arrival Time – Output Maximum Delay of Pin7 _( U2 c& Y* H7 K- T: X  J
Clock Arrival Time = Latch Edge + Clock Network Delay to Destination Register: B5 Z7 L( ^" m) {: L% v
从上面三组公式可以看出:Data Arrival Time的前两项是相同的;Data Required Time的第一项是相同的;Clock Arrival Time的公式是相同的。# h! J, X1 M2 P' {
所以,第一组公式可以归纳如下:
, B" i3 R, B! k: E" l0 WClock Setup Slack Time = Data Required Time – Data Arrival Time
3 c- _; s; j2 {; n2 ]Data Arrival Time = 时钟到达前级寄存器的时刻 + 前级寄存器时钟到后级寄存器数据输入的延迟
1 K" o0 E4 t& Q$ h: ?+ G- c9 Q, O/ t- @Data Required Time = 时钟到达后级寄存器的时刻 – 后级寄存器的建立时间0 `' w- r) |0 K
其中,后两个公式的第二项在其他情况下适当修改即可。
- D  b# Q. a' A  r0 D! M$ L/ e& Q这就和一些书中讲到时序分析时采用的公式一致了。8 g/ s9 y/ K; N* o# m9 j
2.jpg 5 {2 [0 _0 W; Y7 y1 S
report_timing -from [get_registers reg1] -to [get_registers reg2] -setup -npaths 1 -panel_name "Report Timing"% D; s8 L5 F  N5 W0 r, D
) e8 c: S; }6 j  J) v
二、 保持时间(Hold Time)检查:9 N/ e5 K& h3 f
遵循的原则是信号从Launch edge开始计时,经过一系列的时序路径,到达后级寄存器的数据输入Pin的速度不能太快,时间不能太短,否则会侵占后级寄存器数据输入Pin相对于上一个Latch edge的保持时间。刚好满足后级寄存器保持时间的数据到达时间是Data Required Time(相对于Latch edge计算),实际的数据到达时间是Data Arrival Time(相对于Launch edge计算)。显然,在保持时间检查中,Data Arrival Time要大于Data Required Time,否则就会造成保持时间违规。也就是说,Data Required Time是Data Arrival Time的最小值。二者之差就是保持时间的时序余量。
% k- q# L  `3 r& k2 \$ H5 Z! e相对于建立时间检查,保持时间检查稍微难懂一些。二者都是同步逻辑设计中对同一个规则的不同解释:当前时钟沿发出的数据要在下一个时钟沿被正确捕获,不能晚,也不能早。晚了,会造成下一个时钟沿的建立时间违规,当前时钟沿发送的数据不能被下一个时钟沿捕获;早了,会造成上一个时钟沿发送的数据保持时间违规,上一个时钟沿发送的数据不能被当前时钟沿正确捕获。 riple' t; d3 K, N- i/ W( C! Y! m2 ^' K
二者在计算公式上的区别在于Slack计算公式中减数与被减数关系。, u4 }2 g& B: l$ o# F
3.jpg ! f1 D3 {2 o  Y9 ~8 l
1)寄存器-寄存器(Register-to-Register)路径检查:& d" Q5 N9 h7 b/ p0 [0 F1 J
Clock Hold Slack = Data Arrival Time – Data Required Time- |: d2 i% f4 i  [) ]3 `
Data Arrival Time = Launch Edge + Clock Network Delay to Source Register +μtCO + Register to Register Delay6 b. E( G: w1 }/ t0 z4 T% @
Data Required Time = Clock Arrival Time + μtH + Hold Uncertainty+ M0 ]# r; C! g- K3 p  N. T2 a3 n
Clock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
- a% ]2 I' X  G" f* I. R2)输入引脚-寄存器(Pin-to-Register)路径检查:
' {0 a  s$ O' XClock Setup Slack Time = Data Arrival Time – Data Required Time
  h' w# @4 H# q* l( R% A! W0 gData Arrival Time = Launch Edge + Clock Network Delay to Source Register + Input Minimum Delay of Pin + Pin to Register Delay$ x4 [( H! R* Q0 Q
Data Required Time = Clock Arrival Time + μtH
" m' ^2 F0 L! N8 _Clock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
* Q( M" q+ e( U" I* d2 [; ~7 b( B3) 寄存器-输出引脚(Register-to-Pin)路径检查:2 h1 E* ?$ j6 a+ }
Clock Setup Slack Time = Data Arrival Time – Data Required Time
8 F1 d, @8 _0 b4 ?# xData Arrival Time = Launch Edge + Clock Network Delay to Source Register + μtCO + Register to Pin Delay8 K" v" ]0 h4 a0 Z6 X
Data Required Time = Clock Arrival Time – Output Minimum Delay of Pin
2 j* c( g. m6 ^& Y0 q) _, TClock Arrival Time = Latch Edge + Clock Network Delay to Destination Register; P) y# s6 N) }& j# o) \
需要注意的是,上面公式中的Latch Edge实际对应的是上一个Launch Edge。所以,当Launch Clock和Latch Clock是同一个时钟时,上述公式中的Latch Edge等于0;当前级和后级时钟不同时,还需要具体计算Latch Edge的取值。2 m; k  g5 j6 h5 h' \
4.jpg
* D3 k1 b4 {4 dreport_timing -from [get_registers reg1] -to [get_registers reg2] -hold -npaths 1 -panel_name "Report Timing"
- p' i6 W- c* C% s. _
5 [6 t4 c9 u, a. |三、 恢复时间(Recovery Time)检查:
% J- m8 _. ?3 V, R/ K遵循的原则是异步控制信号变化的时刻不能介于寄存器的Latch edge和相应的建立时间之间,否则会导致寄存器的建立时间违规,数据输出进入亚稳态。即从前级寄存器的Launch edge开始计时,经过一系列的时序路径,前级寄存器数据输出到达后级寄存器异步控制Pin的速度不能太慢,时间不能太长,否则会破坏后级寄存器在Latch edge的数据建立时间。该检查主要应用于异步控制信号由有效电平向无效电平转换的时刻,在该时刻破坏数据建立时间会导致亚稳态;在异步控制信号由无效电平向有效电平转换的时刻破坏数据的建立时间不会造成亚稳态。
3 ?+ C( q+ ~# [" P从上述定义,可以得到和建立时间检查类似的公式。" L- k. d- N+ V, |5 V3 a5 f6 G* ]
1)寄存器-寄存器(Register-to-Register)路径检查:8 l' g* a3 L  t1 m  m0 c
Recovery Slack Time = Data Required Time – Data Arrival Time! T) O4 I1 _) e7 o9 U& h# O/ }
Data Arrival Time = Launch Edge + Clock Network Delay to Source Register + μtCO + Register to Register Delay7 u7 e/ N* ?$ S9 g" {! b, G
Data Required Time = Clock Arrival Time – μtSU0 Q* N6 I7 D/ q
Clock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
1 b; c4 }. [; S8 u: c2)输入引脚-寄存器(Pin-to-Register)路径检查:1 k* a9 d. Y- |+ i/ h
Recovery Slack Time = Data Required Time – Data Arrival Time
! z" A& o# x4 a! V" tData Arrival Time = Launch Edge + Maximum Input Delay + Port to Register Delay) E$ N. Z$ u  f8 O( k5 q
Data Required Time = Clock Arrival Time – μtSU
8 F# @- [9 r: W1 m9 i/ YClock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
: @5 l/ m1 A8 z1 m6 L9 B" q1 {# N 5.jpg
7 U  R: x' S! E/ Preport_timing -from [get_ports async_rst] -to [get_registers reg2] -recovery -npaths 1 -panel_name "Report Timing"9 U7 d0 W: l$ s) e- ]* m

0 T$ x- k% _' O6 _1 S四、 移除时间(Removal Time)检查:: n6 O( i' u8 A) j; F, Q/ O( }- h
遵循的原则是异步控制信号变化的时刻不能介于寄存器的Latch edge和相应的保持时间之间,否则会导致寄存器的保持时间违规,数据输出进入亚稳态。即从前级寄存器的Launch edge开始计时,经过一系列的时序路径,前级寄存器数据输出到达后级寄存器异步控制Pin的速度不能太快,时间不能太短,否则会破坏后级寄存器在上一个Latch edge的数据保持时间。该检查主要应用于异步控制信号由有效电平向无效电平转换的时刻,在该时刻破坏数据保持时间会导致亚稳态;在异步控制信号由无效电平向有效电平转换的时刻破坏数据的保持时间不会造成亚稳态。6 e  k; _, \8 {$ s0 c3 E* ~
从上述定义,可以得到和保持时间检查类似的公式。
( a0 h! L1 Z7 x& f1 Z) a1)寄存器-寄存器(Register-to-Register)路径检查:
& K3 _5 M- K- J% v! ZRemoval Slack Time = Data Arrival Time – Data Required Time
9 a6 R2 w/ k. z8 pData Arrival Time = Launch Edge + Clock Network Delay to Source Register + μtCO of Source Register + Register to Register Delay/ }& |/ O4 g4 a: a; a4 C- s
Data Required Time = Clock Arrival Time + μtH
$ Y% P# n% D. Q  ^* ~- N% M+ SClock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
9 t2 C" y0 X9 _2 {$ F3 {+ e
! b5 g: {$ S) T8 k8 S* T* }2)输入引脚-寄存器(Pin-to-Register)路径检查:6 b0 l: V* t$ j, A- }; V0 Y
Removal Slack Time = Data Arrival Time – Data Required Time
, q, G* C, s! B! y; E8 rData Arrival Time = Launch Edge + Input Minimum Delay of Pin + Minimum Pin to Register Delay9 U9 m% i+ A- @! F/ G& Y' i9 @. q; ?2 _
Data Required Time = Clock Arrival Time + μtH- r" }' `- r$ u% G3 T
Clock Arrival Time = Latch Edge + Clock Network Delay to Destination Register
0 I! @$ K. h% U0 @7 x' A4 m 6.jpg ! ]/ u$ z: K( j) b! W8 x6 M, q
report_timing -from [get_ports async_rst] -to [get_registers reg2] -removal -npaths 1 -panel_name "Report Timing"
1 S$ D+ ?- c' K5 w# |五、多周期路径(Multicycle Paths)检查:) m7 M3 E8 i1 U4 m2 W. q
在上述的建立、保持时间检查中,都假设数据从Launch edge开始发送,在Latch edge被捕获;Launch edge和Latch edge是相邻最近的一对时钟沿。在多周期路径检查中,仍然采用Launch edge和Latch edge的概念;但是Launch edge和Latch edge不再是相邻的一对时钟沿,而是间隔一定时钟周期的一对时钟沿,间隔的时钟周期个数由用户指定。6 [/ C& P/ y, J( `) p/ E3 m/ S
在同步逻辑设计中,通常都是按照单周期关系考虑数据路径的。但是往往存在这样的情况:一些数据不需要在下一个时钟周期就稳定下来,可能在数据发送后几个时钟周期之后才起作用;一些数据经过的路径太复杂,延时太大,不可能在下一个时钟周期稳定下来,必须要在数据发送后数个时钟周期之后才能被采用。针对这两种情况,设计者的设计意图都是:数据的有效期在以Lauch edge为起始的数个时钟周期之后的Latch edge。这一设计意图不能够被时序分析工具猜度出来,必须由设计者在时序约束中指定;否则,时序约束工具会按照单周期路径检查的方式执行,往往会误报出时序违规。
* m' i/ c: n& z  i# W# z不设置多周期路径约束的后果有两种:一是按照单周期路径检查的结果,虚报时序违规;二是导致布局布线工具按照单周期路径的方式执行,虽然满足了时序规范,但是过分优化了本应该多个周期完成的操作,造成过约束(Over-Constrain)。过约束会侵占本应该让位于其他逻辑的布局布线资源,有可能造成其他关键路径的时序违规或时序余量变小。
2 S" i6 }- B; C: `+ u8 T; P9 j在多周期路径的建立时间(Setup Time)检查中,TimeQuest会按照用户指定的周期数延长Data Required Time,放松对相应数据路径的时序约束,从而得到正确的时序余量计算结果;在保持时间(Hold Time)检查中,TimeQuest也会相应地延长Data Required Time,不再按照单周期路径的分析方式执行(不再采用Launch edge最近的时钟沿,而是采用Latch edge最近的时钟沿),这就需要用户指定保持时间对应的多周期个数。TimeQuest计算Hold Time的缺省公式等同于PrimeTime。PrimeTime会采用建立时间检查对应时钟沿的前一个时钟沿进行保持时间检查,并多会造成保持时间检查违规,需要用户指定保持时间检查对应的时钟沿为Launch edge最近的时钟沿。(西电出版社《数字IC系统设计》p189)5 @: f( R( N1 m. ?1 d. P" e6 O
TimeQuest缺省的Hold Time检查公式是需要用户修改的——针对Setup Time多周期路径的设置也会影响到Hold Time的检查。究其原因,多周期路径是为了解决信号传播太慢的问题,慢到一个周期都不够,所以要把Setup Time的检查往后推几个周期——扩大Setup Time检查的时间窗口。而Hold Time检查信号是否传播得太快,如果把检查时刻往后推,就缩小了Hold Time检查的时间窗口。3 x3 m+ }/ w( t+ H: D
. d1 D( e8 R/ i+ w$ s6 T4 d

; x6 p8 [2 f) N$ F6 x“信号跳变抵达窗口”:对Latch寄存器来说,从previous时钟对应的Hold Time开始,到current时钟对应的Setup Time结束。 riple3 ]5 X3 {8 Z9 f" i
“信号电平采样窗口”:对Latch寄存器来说,从current时钟对应的 Setup Time 开始,到current时钟对应的Hold Time结束。* |3 v: b: {8 E/ [4 h. {
. Y6 ^6 a7 F* c* s
5 V, J2 d- Q  ?* X4 W
Launch寄存器必须保证驱动的信号跳变到达Latch寄存器的时刻恰好处于“信号跳变抵达窗口”内,才能保证不破坏Latch寄存器的“信号电平采样窗口”。- s& e, x/ N% o7 }, K. N, B: b5 k
时序检查的目的就是确认信号跳变发生在“信号跳变抵达窗口”内,而不会发生在“信号电平采样窗口”内。
2 H; X6 }/ A* }3 n& ?3 v5 G# W7 X4 v$ r" v8 ^
' x" r0 \! R' R
多周期路径的设置是通过延后 Setup Time检查的时刻,扩大了“信号跳变抵达窗口”,放松了时序约束。通过窗口的概念,也很容易理解延后Hold Time,就会缩小“信号跳变抵达窗口”。4 d# C( m  b, X  E  x( {  [' V1 }
背景资料:

Specify multicycle set-up paths constraints riple

Specifying multicycle hold requirements constraints riple


' v9 J7 o/ T: t1 S5 c4 y, @

随文附上一个rar,可以采用上面的命令执行并观察结果。该实例改编自Altera的multicycle_exception。


( a5 L" Y8 [( V) n该实例由两个级联寄存器构成。5 s3 d) V; P9 q* ?/ F6 K7 [: v) O
7.jpg
, ]- M* y0 v. ^& g! v5 M$ u- e! d学习时序分析一定要学会察看Technology Map Viewer。$ q; n5 b( X' C0 N
8.jpg
 楼主| 发表于 2011-2-10 13:39 | 显示全部楼层
转自“riple的博客”
发表于 2011-2-10 17:10 | 显示全部楼层
记号,也不知今年能有时间学CPLD不。。。
 楼主| 发表于 2011-2-10 18:34 | 显示全部楼层
记号,也不知今年能有时间学CPLD不。。。/ F8 d: g/ ]% ]. E
huayuliang 发表于 2011-2-10 17:10 https://www.yleee.com.cn/images/common/back.gif

3 `! i8 {  M0 o- K& y! s+ q
! L/ m9 m" X; r
0 [9 P) ^4 `2 p% c  k$ t. _    做好今年的计划应该不难的,难是难在长久性。祝你成功
 楼主| 发表于 2011-2-10 18:42 | 显示全部楼层
我没什么本事写文章只只好抄一下网上的资料过来了!1 I4 P) |) D# v! q

; o3 B) U4 j& v- z
1 T- h/ o8 Y4 ^; L& R
TimeQuest时序分析工具中的基本时序模型- Q8 {* J- i. A' v# N& x
TimeQuest时序分析工具中的基本时序模型.rar (135.13 KB, 下载次数: 312)

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-5-19 00:11 , Processed in 0.054808 second(s), 29 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表