一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索
查看: 8011|回复: 3
收起左侧

SOPC技术应用

[复制链接]
发表于 2009-1-23 14:04 | 显示全部楼层 |阅读模式
第1节 SOPC开发流程和开发工具 # q' h) r( D, X, F' V! C
SOPC设计包括硬件和软件两部分。
) ~. S+ q) A, s0 r. E' @硬件设计:主要基于Quartus II和 SOPC Builder。
4 \+ ]4 Y& o- u6 L# F软件设计:基于Nios II IDE。' n/ B) o* I2 ^2 ^, o
http://www.eefocus.com/data/08-09/4155_1221817002/1223185828.jpg
8 }+ d2 ?( Z$ v4 W% P) h4 |/ s流程见图解析:
4 D% [, l$ H# `. u' h. P; Z分析系统需求: 在进行SOPC开发之前,首先必须确定系统的需求,如应用系统需求的计算性能、需要的带宽和吞吐量、需求的接口类型以及是否需求多线程的软件等。
$ O6 B8 ^9 H9 \& c建立Quartus II工程:每个开发过程开始时都应建立一个工程,Quartus II是以工程的方式对设计过程进行管理。在工程中建立顶层模块文件.bdf相当于传统电路设计中的电路板(PCB)。9 T4 L6 F2 d' o  v( Z' r/ O
定义和生成系统:在SOPC Builder中添加需要的功能模块(Nios II及其标准外设模块),完成后生成一个系统模块。0 A3 f" Z* B, I) E( n; ^- n
定制指令&定制外设逻辑:如果需要,用户可以定制指令和外设逻辑。(可参考第8章) 5 }; L" `$ E! {( l  o- D
自定义的功能模块:当设计中现有模块不能满足设计要求时,可设计自己的功能模块。并在顶层模块中使用; : c# x! |( [% c4 {0 i0 ~6 P4 |
集成SOPC生成的系统到Quartus II工程 :在顶层模块中,分别将SOPC Builder生成的系统模块、LPM功能模块以及用户自定义功能模块添加到顶层模块中; 6 E& O" _7 `) c( j$ L
连接各功能模块:然后将各个功能模块用连线连起来组成系统功能原理图
! C& {( @: t" g5 x; {* rAltera的LPM模块 :在Quartus II软件中包含了大量的Altera公司提供的LPM功能模块,相当与传统设计中除处理器以外的逻辑芯片(如74系列); * [' n! V. [- [( O8 M
选择FPGA型号并进行管脚分配 :为系统功能原理图选择芯片载体并为各个输入输出信号分配芯片的管脚;
( r* Y# U# K5 {, h; ~进行编译选项设置:设置编译选项,从而让编译器按照用户设定来进行编译;
  K9 P9 R3 E/ _$ `. @5 s  W编译硬件系统生成配置文件sof :编译系统生成硬件系统的配置文件*.sof和*.pof。编译系统是一个非常复杂的过程,包括优化逻辑的组合、综合逻辑、适配FPGA、布线以及时序分析等步骤。
; K) A8 V; }! h/ p下载配置文件到FPGA器件:将配置文件.sof下载到FPGA,将可执行文件.elf下载到RAM。 8 ]1 S. C) z5 O& M! }
设置软件运行硬件环境属性:设置好软件运行的硬件环境属性后,便可进行编译、链接和调试、运行程序。
- I+ X- P9 m! A1 `编译软件:对用户程序进行编译,生成可执行文件*.elf。
: b+ G. y- A. }: ?5 B5 }; |  f+ n0 kISS运行/调试 :接下来在IDE的指令集仿真器(ISS)上仿真软件和运行/调试软件。
$ ~3 I5 {) v, V2 u( c) e3 P在目标板上运行/调试软件 :在目标板上反复调试软件。 ; X% v' ^- d- L7 e- e  Q& A( _
使用IDE编程工具 烧写配置文件和软件代码 :最后利用IDE的编程工具将配置文件烧写到FPGA的配置芯片或Flash,将可执行文件*.elf编程到Flash中。
( q4 q2 U: E6 y! E7 [8 b: B: Z& C+ E/ L4 _
(1)硬件开发
- A- m" t7 \' ?. u' u" S
        用SOPC Builder软件从NiosII处理器内核和NiosII开发套件提供的外设列表中选取合适的CPU、存储器以及各外围器件,并定制和配置它们的功能; 分配外设地址及中断号; 设定复位地址;用户也可以添加用户自身定制指令逻辑到NiosII内核以加速CPU性能; 添加用户自己设计的IP模块。编译Quartus II工程,对HDL文件进行布局布线,从HDL源文件综合生成一个适合目标器件网表,生成FPGA配置文件(.sof);
5 d' [' Q6 Q; @( ]9 m' w' g4 z用下载电缆(如 ByteBlaster II),将配置文件下载到目标板上。硬件校验完成后,可将新的硬件配置文件下载到目标板上的非易失存储器(如EPCS器件)。
% M. `2 q+ M; {$ [5 k( n, Thttp://www.eefocus.com/data/08-09/4155_1221817002/1223550096.jpg
/ `1 b' ?2 w; ], B(2)软件开发
! A$ j  i" t( r: g% a' S        软件开发使用Nios II IDE,它是一个基于Eclipse IDE架构的集成开发环境,它包括:
+ X/ F* S* G0 h        GNU开发工具(标准GCC编译器,连接器,汇编器和makefile工具等); 5 f% G( q: S, {4 Q& I) Q
        基于GDB的调试器,包括软件仿真和硬件调试;
( ~1 V& p) p9 b/ ]. f- P/ U        提供用户一个硬件抽象层HAL;
& F) l/ b3 j8 V$ ~        提供嵌入式操作系统MicroC/OS-II和LwTCP/IP协议栈的支持; 5 r; M3 N8 {7 B. Q
        提供帮助用户快速入门的软件模板;
: d  E) I$ g9 I4 M" b- Z        提供Flash下载支持(Flash Progrmmer 和QuartusII Programmer)
2 u& W: s: b1 N        使用SOPC Builder生成系统后,可以直接使用Nios II IDE开始设计C/C++应用程序代码。Altera提供外设驱动程序和硬件抽象层(HAL),使用户能够快速编写与低级硬件细节无关的Nios II程序; 除了应用代码,用户还可以在Nios II IDE 工程中设计和重新使用定制库。
/ s4 |9 Y3 G3 |/ i% I
8 Z/ ^  Y1 w# [6 S+ l. R
 楼主| 发表于 2009-1-23 14:07 | 显示全部楼层
第2节 SOPC硬件开发实例 , b9 f1 ]' M- B7 y
SOPC硬件开发实例
2 t  z* T: [1 }& O% m第一步是要进行需求分析,根据这个要求来建立硬件系统。
; @0 m2 l- G3 b, E8 J+ |) B1 I* d电子钟的设计要求:
* d3 u" J8 F; z" u. \9 X# c在液晶屏上显示日期、时间;
+ J  j2 w- }  {0 k可以设置日期、时间;
, D5 K. e: c! f* y$ n- d8 v, e' W% K3 B
根据系统要求实现的功能,电子钟的设计要用到的外围器件有: ( A. w) S6 A0 a, H
LCD:电子钟显示屏幕;
) G+ v# U9 G. e0 R按键:电子钟设置功能键; * P$ h* _0 c% ]) b. K" ?7 L. W0 v
Flash存储器:存储软、硬件程序; , k, I" D/ ^# A8 `! W( v- Y3 Z+ V* f
SRAM存储器:程序运行时将其导入SRAM。 . w" Q; [2 _3 Z0 [% W
根据所要用到的外设、要实现的功能以及开发板的配置,在SOPC Builder中建立系统要添加的模块包括:Nios II CPU、定时器、按键PIO、LCD控制器、AVALON三态桥、外部RAM接口、外部Flash接口。
4 G7 e( p: k1 r$ M; b/ Z使用的开发环境如下: 7 }$ E, U) V0 t/ Z: W
WinXP操作系统
) i3 n/ o3 J$ K# b. u1 D  ANios II 6.0嵌入式处理器 4 C& p4 s6 g( c
Quartus II 6.0 % V" z6 O8 l: T# s0 V; }  A6 N+ s& @
基于Cyclone II 的SOPC开发板 % ?6 ]1 B- k; K1 U
2.2.1 创建工程& l" i$ W# {+ j! n  Y+ C
进行完需求分析之后,进行硬件系统的创建。
! q2 U! V5 F5 o) i: I# {首先必须建立一个Quartus II 的工程, + f  T( A4 E# n  A9 s
步骤如下: : `& I' G% c) O
1. 开始程序AlteraQuartus II 6.1Quartus II 6.1(32 bit),启动Quartus II软件;
5 w% |) J! `# L& K4 c
' n8 G4 S8 B/ _# j6 L( L. l) E4 `. i- }$ K2.选择File菜单New Project Wizard,出现Introduction页面,该页面介绍所要完成的具体任务,点击next。 3 I. H3 ]3 Z/ m$ r$ \/ L+ W  P
4 D, B6 K( C- @) [
3. 进行项目名称的设定、工作目录的选择。指定工程存放的目录,工程名和顶层实体名,工程名和顶层实体名要求相同,工程目录可以随意设置,但必须是英文的目录,工程名和顶层实体名也要求是英文名字,我们的工程名和顶层实体名为clock,选择Next。 ; @# F9 F- O5 ^& }0 G8 X
4.可以为工程添加先期已经输入的设计文件,指定用户自定义的元件库的路径,这里我们没有事先输入好的文件,也没有自定义的元件库,点击Next进入下一步。 + b" R- R$ m$ p3 r" h0 @
5. 用户指定目标器件,根据开发板的所使用的器件来选择,实际开发中,通过查看核心板的参考手册来获取所使用的器件具体型号,可以使用窗口右边的Filters来加快器件的选择,选择完毕点击Next。 0 C# J; S3 s/ U; {% [) h1 ]
6. 指定在Quartus II 之外的用于,设计输入、综合、仿真、时序分析的第三方EDA工具,Quartus II对第三方工具的支持比较完善。这里我们不做选择,直接点击Next。
          所见新工程的信息,确认所创建工程的主要信息,点击Finish完成工程的建立,在开发的过程中,还可以通过菜单assignmentSettings来对这些配置进行修改。 点击Finish按钮,Quartus II自动会打开这个工程,可以看到顶层实体名出现在工程导航窗口中。
+ `& {, i; R  ]
 楼主| 发表于 2009-1-23 14:09 | 显示全部楼层
创建完成工程之后,需要创建顶层实体。创建完顶层设计文件之后,使用SOPC Builder创建NIOS II 嵌入式处理器,添加、配置系统的外设IP,组成Nios II系统模块。 Nios II 系统模块设计完成之后要加入到该顶层实体中,然后进行其他片上逻辑的开发。% G, k6 ?2 T1 v: g' H5 G
2.3.1 创建顶层实体
/ o0 f1 M& H- s  d; R* J2 }* S(1)新建的工程窗口中,选择FileNew;
+ z( S! U- v2 n3 ](2)在Device Design File页中,选择Block Diagram/Schematic File,即原理图文件,也可以选择硬件描述语言的文件形式。单击OK。 5 |& C0 b  K6 C/ \
(3)出现一个模块编辑窗口。
/ u' z% _4 O$ D) [/ B* c
(4) 选择FileSave As,出现Save As对话框,显示的目录为之前设置的工程目录,文件名为之前设置的顶层实体名(由于这是工程的第一个文件,系统会默认为顶层设计实体的名字)。确定Add to Current Project选项被选中,点击Save文件被保存并被加入到工程中。
! K% N. R4 }* p& `" v& z% T! I8 t
# S8 j: N; h8 d
2.3.2 创建Nios II系统模块
/ L0 Q# O5 i2 q+ `, |9 x# U) W        创建NIOS II系统模块需要使用SOPC Builder,它是Quartus II中的一个工具,使用SOPC Builder可以创建一个Nios II 系统模块,或者创建多主设备SOPC模块。一个完整Nios II的系统模块包括Nios II处理器和相关的系统外设。所以创建系统模块的流程是先创建一个系统,然后添加Nios II CPU和外设IP,然后进行相应的设置,最后生成实例,然后将其加入到工程的顶层实体中去。 ) e  b5 q9 ~& [: h
一、创建系统
- ?* h6 S  Y1 U2 L启动SOPC Builder,选择ToolsSOPC Builder,出现图2-10的Create New System对话框。键入系统的名字,选择硬件描述语言Verilog或者是VHDL。
* `+ M* |. p7 q7 D5 l
1 T& f. {+ L! k" e' ]7 a( ?. O; A) G3 r, U) v! [5 ^

; O7 w0 S' j6 T
二 、设置系统主频和指定目标FPGA
1 a0 j: t' M% Q7 x- ^
        在Target栏中的Board部分指定我们这本书使用的核心开发板——Cyclone II (EP2C35)。也可以在Board部分选择Unspecified,然后在Device Family选择Cyclone II。
# n! T( {/ L' z) s, y3 }& P# U9 ?用户需要设置系统的时钟频率,该频率用于计算硬件和软件开发中的定时,比如时钟分频或波特率。这里我们设成85MHz,还可以选择是否选用流水线。 4 M! O" ?' n6 q: b% a: ~1 {) S
三、加入Nios II CPU和 IP模块 " A& P. L( u3 R5 Z' S7 T7 T2 W
        首先加入Nios II软核,Nios II 是软核CPU,共有三种类型的CPU可供选择:Nios II/e(经济型)、Nios II/s(标准型)和Nios II/f(快速型)。用户可以根据实际的情况进行选择。Nios II是一个用户可以自行进行定制的CPU,用户可以增加新的外设、新的指令等。 6 d3 f, C* i$ i/ c9 R  z$ Z5 G
添加Nios II CPU的步骤如下: " `+ F# w  u, Y, X+ s8 H$ q
1. Avalon Components 下面选择Nios II Processor;
- r& T# k6 T  Q. T9 b! u" j. `/ m2. 点击 Add,出现Nios II CPU的配置向导,共有三种类型的CPU可供选择; . i4 V" w" O0 W; U
        根据需要选择相应的一种Nios II核,我们选择标准型的Nios II核,Hardware Multiply选择none,不选择Hardware Divide点击Next,进入Caches & Tightly Coupled Memories设置窗口;
; y7 q& p  Q& u$ c5 V, k: g* b
         设置Nios II的Cache和与CPU直接相连的存储器端口(不通过Avalon总线),选择Instruction Cache为4Kbytes,不选中Include tightly coupled instruction master port(s) ,点击Next进入Advanced Features设置页面。 + _1 [6 C9 p2 S4 t2 J0 h
         Advanced Features的设置页面是Quartus II 6.1 版本才有的设置,这里不选择Include cpu_resetrequest and cpu_resettaken signals点击Next进入JTAG Debug Module设置。
http://www.eefocus.com/data/08-09/4155_1221817002/1223437930.jpg
        共有4个调试级别可供选择,这里选择Level1即可,该级别支持软件的断点调试。JTAG调试模块要占用较多的逻辑资源,如果整个系统调试完毕了可以选用No Debugger以减少系统占用资源点击Next进入自定义指令的设置。 ! N7 q1 b9 b$ }( M8 r
        因为本例不用到任何的自定义指令,这里不作任何的设置,点击Finish完成CPU模块的添加。 " W$ a$ ]: y- \' Q# V8 q7 C
0 g$ G/ v0 p5 g; J( N2 l( j
除了Nios II CPU,电子钟设计需要添加的IP模块包括: 1 i5 x4 a/ }* d. K0 r" h( y9 A2 g# e( E
Timer
" v! G; }) a" b0 i1 r  ]Button PIO $ J9 e  j) L& O; E9 p
LCD控制器 ( x9 o9 u7 I) [' f
External RAM Bus 9 T% o' P- r* d$ Q& o6 Z
External Flash Bus 6 Y5 J- w; R% L7 r4 \
External RAM interface
' p1 E7 G$ `6 H$ o! v; Q$ pExternal Flash Interface
+ _6 v) g/ x/ x/ t- m1. 添加定时器
5 f1 f6 u2 P5 Y6 H定时器和Nios II CPU一样,用户可以对其进 行定制。添加定时器的步骤如下:
' x. W$ N0 t% Y$ Y(1)在Avalon components下的other库中选 择Interval Timer并单击Add,Avalon_Timer-timer_0的向导窗口出现;
9 Y; V: v; z3 s: E2 H(2)配置定时器,点击Finish,完成定时器的添加。
6 A& q# N/ ?* p9 O(3)可以对Timer进行重命名,我们这里取缺省的名字。 0 u  l. n) d6 y3 O* ~2 Q: t
2. 添加Button PIO
, K/ L) @2 b: M% i* b(1)在 Avalon components下的other下选择PIO,单击Add,出现Avalon PIO-PIO_0的向导; & x9 P4 R) y7 w! G! r% |
(2)在Basic Settings页中,设置width=4bits,direction为Input Ports Only;
1 L$ G3 x, ]* p4 c(3)在Input Options页中,在Edge Capture Register下选中Synchronously Capture,然后选择Either Edge; ; N4 ?. u, C# j  _# x
(4)在Interrupt下选中Generate IRQ,然后选择Edge; + _3 _* O9 M* D7 a. W' o
(5)点击Finish,返回到Altera SOPC Builder NIOS2的窗口; ; M4 k% {# N% _% m! {# v9 `1 {
(6)右键单击Module Name下的pio_0,从菜单选择rename,重命名为button_pio.
2 Z9 h) Q) r/ }1 i" {3. 添加LCD控制器
- W2 X9 Q' z5 c7 M. M" y(1)在图2-11的窗口中的左侧的Avalon components下的display库中选择Character LCD(162, Optrex 16027),单击Add; / ~, q+ S/ q, w0 t  c# G( K
(2)Module Name下出现,lcd_16027_0;
+ X. d! U6 Y, x( @(3)将其重命名lcd_display。
( {5 O& K- e3 L: ]- S1 i3 Z- [4.添加外部RAM接口
' z( a! N; ]% y- D7 ~(1)Avalon components下的Memory下面选择Cypress CY7C1380C SSRAM,单击Add,出现SSRAM (Cypress CY7C1380C)-ext_ssram的向导;
% R( I& u9 Q+ f9 b(2)在Timing Parameters下面设置Read Latency 为2 clocks,在SSRAM下面设置Memory Size为2Mbytes
0 e  z9 W; Z, a(3)单击Finish,返回到Altera SOPC Builder NIOS2的窗口; % m2 F( D/ b7 w
(4)右键单击Module Name下的ssram_0,从菜单选择rename,重命名为ext-ssram。 9 h+ q$ z4 c# b7 P* e( d
5. 添加外部闪存接口
9 K* Y4 a  @) b8 x(1)在Avalon components下的Memory下面选择Flash Memory(Common Flash Interface),单击Add,出现外部闪存接口向导; * l' ]3 X( i. \/ f" w2 d. ?+ G
(2)在Attributes页中,可以在Presets列表中选择相应的闪存的接口,这些闪存的接口都是经过测试的,如果列表中没有,用户可以自己定义闪存的Size和Data Width; % d2 Y/ K! g5 k" V
(3)在timing页中,可以设置闪存的读写时序要求,可以设置Setup, Waite, hold时间等参数,通常保留缺省的设置;
7 ]8 ?  \* E: c/ h9 Z7 C$ ]5 T(4)如应用选择的闪存接口不在列表中,需要自己定义Size和Data Width,和时序要求; 2 R& N! B' ^, |2 j
(5)点击Finish,返回到Altera SOPC Builder NIOS2的窗口,Module Name出现cfi_flash_0,对其重命名为ext_flash。
* N3 d) Q) Q3 T7 j7 i* a
/ S0 F; A6 g0 p- N9 q8 d
6. 添加外部RAM总线和外部flash总线(Avalon三态总线桥
- J7 f; @. w, `1 Y- R& [  m) V7 o为了使Nios II 系统能与开发板上的外部存储器通信,必须在Avalon总线和外部存储器之间加入Avalon三态桥。 * _" ]4 \! g2 z) a3 Y& S8 N. i
步骤如下:
- h3 l4 z& U5 I6 ~5 M( h# G(1) 在Bridge下,选择Avalon Tri-State Bridge,点击Add,出现Avalon Tri-State Bridge – tri_state_bridge_0向导; 5 D* a% Z3 R9 B+ A. N  H3 ^
(2) Registered选项默认为选中; 1 J. u# U3 Z8 t- |/ C
(3)单击Finish,返回到Altera SOPC Builder NIOS2的窗口; , ]5 `0 [  c( E( R8 R9 Q
(4) 将其重命名为ext_ssram_bus;
* ?: F! A- J1 d- S0 H. |(5) 重复前三个步骤,在添加一个Avalon三态总线桥,并重命名为ext_flash_bus. 0 C) M4 U+ t5 K7 e: T4 ^0 x
7.添加JTAG UART " W( n) Q0 Y( s
(1)在Avalon components下的Communication下选择 JTAG UART,点击ADD,出现添加向导。
+ n0 U( O$ v" k, G" @( ~5 |(2)按照缺省设置,点击Finish。 * K6 v6 i7 i) G
(3) 将其重命名为JTAG_UART. 4 E; ^' w  d$ H, b8 `7 x3 L1 A% a
8. Nios II系统的连接 4 L7 t# ~% a' S# {* e% @3 @6 |
        主要是外部RAM接口要和RAM的三态桥连接,外部的Flash接口要和flash的三态桥连接。所有添加的IP连接都是系统自动完成的,除了上面提到的三态桥和外部存储器的接口的连接之外,其它的连接用户不用修改,但对于三态桥和外部存储器接口的连接,系统的自动连接可能和用户的开发板不匹配,用户需要进行手动的更改。针对我们所用的开发板的情况进行的设置,因为本书用到的开发板外部的SRAM和外部的Flash没有使用共用的数据线和地址线,所以必须为它们分别添加一个三态桥。 2 ^5 h; \- w3 _! V3 O. @
2.3.3分配IP模块的地址和中断号
        在以上添加IP的过程中,SOPC Builder为各个IP模块分配了一个默认的基地址,用户可以改变这些默认的分配。如果用户自己分配的地址出现冲突,SOPC Builder会给出警告,用户可以按照下面的步骤来进行分配和解决地址冲突问题。
) t1 o6 A$ `) K, X& P下面我们给出一种自定义的地址分配实例,将闪存的基地址设定为0x00000000,步骤如下:
4 i! L  z  V8 w& ~(1)单击Flash外设的Base栏,将地址改为0x0,然后回车,这时SOPC Builder的消息框中出现错误的提示信息,这是因为闪存的地址和其它的外设地址发生了冲突。
& A9 r, W% D3 J4 K0 h(2)选择Module菜单中的Lock Base Address操作,一个挂锁的图标出现在闪存基地址的旁边。 ' I) \- A$ X% ?+ T
(3)选择System菜单中的Auto Assign Base Address操作,来解决外设的地址分配冲突问题,SOPC Builder调整其它外设的地址来避开与闪存地址的冲突,错误提示的消息就会消失了。
8 X- a6 L. h) u+ s: n, ~(4)用户可以手动修改各个外设的中断号,中断号越低,中断优先级越高,用户也可以采用自动分配中断号,选择System菜单中的Auto Assign IRQs,但SOPC Builder不处理软件操作,采用自动分配中断的策略不一定是最优的,用户最好是根据自己的应用来确定外设的中断优先级,采用手动的分配。 # _3 A0 _: x+ x& Z3 _2 n  o
2.3.4 配置NIOS II 系统
系统的IP模块添加完成之后,还需要对系统进行配置。点击Nios II More “cpu_0” Settings 页,用户可以进行如下的设置:
9 t. g2 t# h8 `$ U0 S, I+ C; z
Reset Address:可以选择存放Boot Loader的存储器和设置Boot Loader在存储器中的偏移,我们这里选择ext_flash,偏移选择默认;
3 E/ F- M2 A( [Exception Address:可以选择存放异常向量表的存放的存储器和设置异常向量表在存储器中的偏移,我们选择ext_ssram,偏移选择默认;
" Z- a" G' d. d$ t- e0 {! j9 P! BBreak Location:如果NIOS II 包含有一个JTAG Debug模块,SOPC Builder会显示这项内容。其Memory Module总是JTAG Debug Module,Offset 固定为0x20, 地址由JTAG debug module基地址决定。用户不能修改Break Location的各个域的内容。 " M  L6 B$ x" d/ }8 `  D4 l' V
2.3.5 生成NIOS II并加入到工程中
NIOS II系统是工程的一部分,我们首先是生成它,然后加入到工程中去,然后将整个工程下载到FPGA芯片中去。单击System Generation,在Option下进行如下的设置:
% e% }! s3 {; ]选中 HDL,会生成系统模块的硬件语言文件。 . o6 W9 g* P' W9 u  n) @) |2 v
选中 Simulation,如果安装了ModelSim软件,会生成用于仿真的相应的文件。
; I! g: D$ {; g# o& N1 F单击 Generate,SOPC Builder会提示生成系统的进程,系统生成完成时会提示”SUCCESS: SYSTEM GENERATION COMPLETED”。单击exit 退出SOPC Builder。
9 B& s, R6 d$ I! J) T& [
        系统生成完成之后,SOPC Builder为这个定制的NIOS II系统模块创建了一个符号,要把Nios II系统加入到工程中去,遵循如下的步骤: - ^- `, b9 Z% m
(1)在 Quartus II软件中,打开顶层实体(BDF格式),在BDF窗口中任意处双击,出现 Symbol对话框; 8 e( t9 D; g  l/ N& `* V
(2)在Symbol对话框中单击Project来展开工程目录,其下出现NIOS2(本例采用的系统名),选中它,右侧出现了系统的符号表示; + X$ C+ |% e7 c. W. t  J
(3)单击OK,Symbol对话框关闭,NIOS2的符号轮廓被附着在鼠标的指针上。 : N, A, ?; j+ T0 T4 j
(4)BDF窗口中任意空白处单击一下,NIOS2的符号出现在BDF窗口中,这样我们创建的系统已经被加入到工程中了。 $ r& A' `# G) A( ?% ^7 ^

: W0 F- ?- d+ {
2.3.6 加入引脚和嵌入式锁相环
除了NIOS II系统之外,可能还要有其它的硬件逻辑,我们这里加入一个嵌入式锁相环,嵌入式锁相环有两个时钟输出,一个输出SSRAM提供时钟,另一个时钟的输出为NIOS II CPU提供时钟,然后添加输入、输出、双向引脚,以实现和FPGA外部的外设进行通信。
, O. q) K. {# z" ^2 @加入嵌入式锁相环的步骤如下: + U3 p4 t; v3 i: x4 d; y5 `
(1) 点击ToolsMegaWizard Plug-In Manager,出现MegaWizard Plug-In Manager向导Page1窗口,点击next
在MegaWizard Plug-In Manager Page2 窗口中的IO下面选择ALTPLL,器件选择Cyclone II,输出文件类型选择VHDL,文件名为ssram_pll,选中Return to this page for another create operation,然后点击Next,出现MegaWizard Plug-In Manager-ALTPLL [Page 3of 9]; ' n0 J% b0 Z) D6 K7 P5 Y
在MegaWizard Plug-In Manager-ALTPLL [Page 4 of 10]窗口中,不做任何选择,点击next;
7 W; e. i- N) c! t4 ]0 ]3 V/ }在MegaWizard Plug-In Manager-ALTPLL [Page 5 of 10]窗口中,单击next;
, x+ ]. A1 l& Q" i- \5 Y4 m
在MegaWizard Plug-In Manager-ALTPLL [Page 6 of 10]窗口中,设置c0输出时钟,首先选中Use this clock选项,设置时钟频率为85MHz,占空比为50%。点击Next会进入c1输出时钟的设置; - R* w, O' o  q3 C; ^1 n
         嵌入式PLL可提供3个输出时钟,我们使用其中的两个,MegaWizard Plug-In Manager-ALTPLL [Page 7 of 10]窗口中,在c1时钟的设置页面上,选中Use this clock选项,设置时钟频率为85MHz,点击Next;
9 w, d% B" m) F% M
在MegaWizard Plug-In Manager-ALTPLL [Page 8 of 10]窗口中,不选中Use this clock选项,即我们不使用c2时钟,点击Next;
' i  }7 L- H$ B& v' y3 _在MegaWizard Plug-In Manager-ALTPLL [Page 9 of 10]窗口中,给出了用于仿真必须要产生的文件,点击Next;
- E3 Q( g% M5 U2 ?6 a/ u在MegaWizard Plug-In Manager-ALTPLL [Page 10 of 10]窗口中,给出了用户选择要产生的文件,不做改变,采用默认配置,点击Finish完成PLL的生成。
3 k) b* N% y8 j5 G& Y在顶层实体的bdf窗口中双击鼠标,出现Symbol添加窗口,在project下面选择刚才建立的ssram_pll,点击OK。ssram_pll的轮廓会附着在鼠标上,点击bdf窗口的空白处,将嵌入式锁相环加入到了工程中。
3 ?0 J4 S3 v) }* t. e引脚添加的步骤如下:
# x3 U4 z; ~) s. J' B(1)在顶层实体的bdf窗口的空白处双击鼠标,出现Symbol添加窗口,在altera/quartus/libraries下面选择primitives,再在其下选择pin,在其中有三种类型的引脚,有bidir,input,output,分别为双向、输入和输出引脚,选择相应类型的引脚,点击OK。
. p. {: F8 z$ [9 d0 j* j(2)点击bdf窗口的空白处,即将引脚加入到了工程中。 6 B  K6 t% E- N# V* V6 E7 s6 u
(3)重复上面的步骤添加为各个端口添加相应类型的引脚。
- q( ]8 z5 X5 k# M% e
连接引脚和命名引脚
# c+ K% h/ C& J/ D. K. k第一个加入的引脚的名称缺省为pin_name,之后加入的引脚名称依次为pin_name1,pin_name2向上递增,为了便于理解和记忆,需要对引脚重新命名,使其和其传输的信号联系起来。 5 w; U8 F6 M* k! X3 l
命名引脚的方法如下:
(1) 双击引脚的“pin_namen”部分,pin_namen的文字变成高亮,可以对其编辑。
4 e+ ^/ j: i# p! O3 W* O9 X* U(2) 对其他的引脚重复以上的操作,修改成具有意义的名字。
8 x1 |6 e  W' N, r3 V* e) n; h(3) 对于总线型的引脚,引脚名称之后要标识出总线的位数,如ddr_a[12..0],在引脚名称之后加上方括号,然后写上最高位和最低位,用两个..隔开。 & h; `2 J1 D! }6 `# X
(4)将嵌入式锁相环和系统模块等连接起来,并将引脚连接到相应的端口上。 8 X# E1 G% w- |! W! S+ u
1 M- H9 e2 b  U' T0 N  j. T% s
  t- `3 x+ M  W% M) S( u% c2 @$ z

$ B! W9 k. {9 X* x' N4 a6 M- S1 k[ 本帖最后由 kenson 于 2009-1-23 14:17 编辑 ]
 楼主| 发表于 2009-1-23 14:22 | 显示全部楼层
第4节 设计优化 ) P" `& _: V$ f, M
设计优化主要包括节省占用FPGA的面积和提高设计系统运行速度两个方面。这里的“面积”是指一个设计所消耗的FPGA的逻辑资源的数量,一般以设计占用的等价逻辑门数来衡量。“速度”是指设计的系统在目标芯片上稳定运行时能够达到的最高频率,它与设计的时钟周期、时钟建立时间、时钟保持时间、时钟到输出端口的延迟时间等诸多因素有关。
1 X. h* P; x5 H( {4 U2.4.1 面积与速度的优化8 W1 @2 J: i7 k
打开我们刚才的工程——clock,然后选择Assignment菜单下的Settings命令,弹出设置窗口。在对话框的左边的Category栏下,列出了很多可设置的对象,包括EDA Tools Settings、Compilation Process Settings、Analysis & Synthesis Settings、Fitter Settings、Timing Settings、Simulation Settings等等,选中要设置的项目,窗口的右边显示供设置的选项和参数。 % y1 Q5 B7 W  `6 S2 M% c! X, x
在Analysis & Synthesis Settings页面,用于对设计在分析与综合时的优化设置。在该页面的Optimization Techniques栏中,提供了Speed、Balanced和Area3种优化选择,其中Balanced是软件缺省的优化选择。如果对Speed或Area有特殊的要求,则选中相应的选项。
5 G/ A& C$ b1 a* y8 N3 u4 r5 j0 C  c
2.4.2 时序约束与设置& c# O4 {0 x" Z* R" b
选择Settings下面的Timing Analysis Settings,然后选择Classic Timing Analyzer Settings。在此页面中,可以对设计的延迟约束、时钟频率等做设置。延迟约束(Delay Requirements)设置包括tsu(建立时间)、tco(时钟到输出的延迟)、tpd(传输延迟)和th(保持时间)的设置。一般来说,用户要根据目标芯片的特性及PCB板走线的实际情况,给出设计需要满足的时钟频率、建立时间、保持时间和传输延迟时间等参数。对一些简单的应用,对时序要求不严格 ,可以不做设置。
: P8 h# M) H8 K0 A1 p5 H1 N
' u1 i- V6 S/ `* w2.4.3 Fitter设置* I9 L. ^( |, c. d. [0 u) ~
在Ssettings对话框中,用鼠标左键单击Category栏目中的Fitter Settings项,出现Fitter Settings设置页面。此页面用于布局布线器的控制。
) C4 _& ^0 o; ?8 k2 `在这里需要设置的主要是布局布线的策略(Fitter Effort),有三种模式可供选择:标准模式(Standard Fit)、快速模式(Fast Fit)和自动模式(Auto Fit)。标准模式需要的时间比较长,但可以实现较高的最高频率(fmax);快速模式可以节省50%的编译时间,但会使最高频率有所降低;自动模式在达到设计要求的条件下,自动平衡最高频率和编译时间。 1 t0 `. Y, p; M: ?

2 Y7 k, A, e; }

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-5-18 17:28 , Processed in 0.059237 second(s), 25 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表