一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索
查看: 9712|回复: 4
收起左侧

Tsu,Tco,Th,Tpd的概念

[复制链接]
发表于 2010-5-20 22:30 | 显示全部楼层 |阅读模式
Tsu,Tco,Th,Tpd的概念; s9 V) l  i  B, H( y7 b

( S" x" ~: V/ ]2 h9 ~/ X' a9 v' f  X- {- B" ]& `
tsu : setup time,
/ B6 E6 T. o, \- J定义输入数据讯号在 clock edge 多久前就需稳定提供的最大须求;以 正缘触发(positive edge trigger)的D flip-flop 来举例就是 D 要比 CLK 提前 tsu 时间以前就要准备好,此 flip-flop 就能于某特定之频率下正常工作.
/ v8 L& i3 w$ H
th : hold time,
9 I8 z: V& c& r! N& q2 X定义输入数据讯号在 clock edge 后多久内仍需稳定提供的最大须求;以 正缘触发(positive edge trigger)的D flip-flop 来举例就是 D 要在 CLK 正缘触发  th  时间内仍要提供稳定之数据,此 flip-flop 就能于某特定之频率下正常工作.

9 u* i! P9 A  s( Ttco : clock output delay,2 r5 T7 X$ z0 q# ^& d4 |' @
定义由 clock latch/trigger 到输出数据有效之最大延迟时间 ;以正缘触发(positive edge trigger)的D flip-flop 来举例就是Q 要在 CLK 正缘触发后至多  tco  时间就会稳定输出.

8 Q4 M6 A0 l0 e- k! K9 rtpd : propagation delay,6 L% n1 U' e7 p& n
定义由输入脚到输出脚最大延迟时间,一般定义予 combination logic circuit 较适合.
 楼主| 发表于 2010-5-30 11:26 | 显示全部楼层
FPGA时序分析实用指南
5 l2 @8 `8 p4 H; k" I1 |) a
4 p0 w  v& b8 G7 r8 m/ Z' M  F1.       基本时序分析
) L5 X: Q5 L. M" e8 x0 I5 @
/ {6 F4 a2 @" r( Y# j9 M- f. la)         时钟周期/ E+ `6 k3 H5 f3 P/ u

6 S/ M, u* ]# j时钟周期分析是最简单的一个, 也是最容易理解的一个分析, 硬件对应的基本道理是寄存器输出延迟 + 逻辑操作延时 + 连线延迟 + 建立时间 必须小于时钟周期, 上面的式子即定义了最短的时钟周期, 也即信号的最高工作频率. 在一些实际情况下, 上面的式子会有一些变化, 比如考虑时钟的抖动, 不同信号状态下传输的延迟不一样, 等. 一般情况下, 分析最坏情况即可. 一般情况下, 时钟周期都是作为全局约束添加的. 在FPGA工作当中, 只需要加一个简单的时钟周期约束即可. 在实际项目当中, 可能会遇到有多个相关时钟, 在Quartus II中, 可以设置时钟之间的相对关系, 然后只需要设置基本的时钟约束即可. 可以在 Assignments à Settings à Timing Analysis Settings à Classical timing analyzer à Individual clock中设置. 对Quartus比较熟悉的也可以通过.qsf文件设置, 具体语法可以参考原有的设置, 一般情况下, 只要稍作修改就可以了. 直接编辑.qsf文件的好处是对于添加多个类似的约束比较快. 在ISE中, 可以使用 User constraints à create timing constraints, 通过图形界面设置, 也可以直接编辑.ucf文件实现. 同样, 具体语法可以参考现成的文件.' _0 H  n* E; J
2 o' z0 m  X! y" d
b)         输入setup
- Q$ q7 k  K$ L
5 c0 X7 ~5 B# a6 `. }输入数据的setup要求是初学者经常搞糊涂的一个约束. 其实Quartus和ISE里边对输入setup的定义都非常清晰, 也即, 从外部来看, 只要满足时钟和数据一定的关系, FPGA内部可以保证不会出现亚稳态现象(即采在数据的沿上). 在Quartus中, 这个参数是Tsu, 其准确定义如下: The length of time for which data that feeds a register via its data or enable input(s) must be present at an input pin before the clock signal that clocks the register is asserted at the clock pin. 具体计算公式如下: tSU = + - . 确切的说, 这个定义应该是从外部看到的FPGA的时序要求. 比如Tsu为 0.5ns, 那么只要数据在0.5ns之前建立, 数据送入FPGA应该不会出现问题. Tsu可以是全局约束, 也可以是局部约束. 全局约束可以通过Assignments à Settings à Timing Analysis Settings à Classical timing analyzer中的Tsu来设置, 局部约束可以通过Assignment Editor来添加.% Q% f9 m0 d4 N+ M( a
0 C3 C' G6 f0 N- M1 R% \
在ISE中, 叫做Offset In Before或者Pad to setup, 准确定义如下: The minimum time for input signals to be stable before they can be sampled correctly on the next active clock edge. 具体计算公式为: Slack = Requirement - (Data Path - Clock Path + uncertainty)
: S) k1 [* B7 d; J& o8 v: I( _4 [. W: c/ P
举个例子来说, 如果时序报告给出数值为4.468ns, 那么这意味着数据必须在时钟沿之前4.468ns建立, 换而言之, 只要外部送入数据在4.468ns之前建立, FPGA内部采用不会出问题. 具体的计算方法如同Altera. Offset in Before可以是全局约束, 也可以局部约束, 在Constraint Editor可以设置.2 x9 H1 y5 C7 e+ x* w3 S; W" ~

; {5 @1 W# p+ H! e在实际使用过程中, 通常大部分情况下, 数据和时钟都是每个周期有效. 因此, 数据到底在哪个时钟周期被寄存器捕获其实并不重要, 关键是所有的相关数据(比如一个总线的数据[7:0])必须保证在一个时钟周期内采样. 因此, 如何避开会造成亚稳态以及如何使得相关的数据的Tsu尽量保持一致, 是解决数据输入FPGA的时序问题的关键. 比如, 假定输入FPGA的数据在时钟沿的1ns处发生变化, 那么Tsu应当避开这个1ns附近这个区域. 只要按照上述原则操作, 时序裕量都会是够的. 在一些特殊情况下, 比如有使能信号传递, 或者是数据的时钟周期必须严格对其, 或者是时钟抖动特别大, 则需要更加仔细的考虑时序约束. ; q9 n9 `  |. s, f

$ j: n6 z# z+ Y8 }: ]2 RTco# {3 f) m5 \2 g2 k. r
! v  }7 L/ G  m9 W; {
Tco是Clock to output的延时. 道理如同Tsu, 只不过是换个角度看问题, 即作为驱动源来看待的问题. 也是从外部观察的. 在Quartus中, 这个参数是Tco, 其准确定义如下: The maximum time required to obtain a valid output at an output pin that is fed by a register after a clock signal transition on an input pin that clocks the register. 意思是相对于输入时钟管脚而言, 从寄存器输出的数据(到达pin)最长多长时间可以稳定. 具体的计算公式如下:- N. y( S. Z& z6 W9 m5 z. d

, J; h$ \% p0 ftCO = + + . Tco可以是局部约束, 也可以是全局约束. 具体设置方法和Tsu类似.
" q% [3 }% U8 a8 ]
! Z& w) `* J: q0 g5 o在ISE中, 这个参数是Offset out after或者称为Clock to Pad, 其准确定义如下: The clock-to-output, or OFFSET OUT, delay of the FPGA is the total delay encountered from the time a clock edge arrives at the input pin of the device to the time data becomes valid at the output pin of the device. 具体计算公式为Slack = Requirement – (Clock Arrival + Clock Path + Data Path + Clock Uncertainty). Offset Out After可以为全局约束或者是局部约束, 在constraint editor工具或者直接编辑UCF文件均可设置. 下面的一个报告给出了一个示例:3 U, c" t3 p2 F, t9 z# p
0 B2 }7 r; H+ [/ [  X  X
        OFFSET = OUT 4 ns AFTER COMP "ClkIn";
' Q; O. L5 S0 {6 |3 K0 d! i        Largest slack: 0.667 ns; Smallest slack: 0.667 ns; Relative Skew: 0.0 ns;4 c5 ^/ X( r2 b8 ?4 n  ~
        +-----------------------------------------------+-------------+-------------+7 F; k- N% L% D3 F7 ]
        |PAD                                            |    Slack    |Relative Skew|
3 w  J' ]3 K5 o: H6 b3 j  R3 x        +-----------------------------------------------+-------------+-------------+3 B1 B7 W2 l8 i5 x
        |DataOut<0>                                     |        0.667|        0.0 |
1 C% j5 f( k2 X% ?  f3 y# p        +-----------------------------------------------+-------------+-------------+ 1 w8 G3 c% V$ S; W

; b/ ~4 {+ W  I( V即要求的Tco为4ns, 实际的Tco为3.333ns, 寄存器输出的最大延迟不超过3.33ns.
7 \& G3 a' g" b  y8 K6 H- L( A/ B* x2 ~
         在实际应用中, 还需考虑其他的一些因素, 比如时钟布线的策略, 比如星形结构, Daisy Chain结构等等. 不同的策略分析的方法有所差别, 具体的分析方法将在下篇有关FPGA芯片间通信(或着板间通信)的时序分析介绍.
 楼主| 发表于 2010-5-31 11:45 | 显示全部楼层
Altera对应的时序概念
* Z  l! U( M$ T
    下面主要介绍Altera对应的这些时序概念和约束方法。前面首先介绍的第一个时序概念是周期(Period),这个概念是FPGA/ASIC通用的一个概念,各方的定义相当统一,至多是描述方式不同罢了,所有的FPGA设计都首先要进行周期约束,这样做的好处除了在综合与布局布线时给出规定目标外,还能让时序分析工具考察整个设计的Fmax等。0 }- F! j7 W/ }0 U2 Y0 Q
    Altera的周期定义如下图所示,公式描述如下:
( \. ^0 V. P6 P$ N' g$ |# b  h, @http://www.dzkf.cn/upimg/userup/0810/2PZ2455136.jpg
; R: `* {  W5 j" y6 C* x图5 Altera 的 Period 示意图6 C6 X! `! d) m! M, m. s8 ^0 }" b1 W
Clock Period = Clk-to-out + Data Delay + Setup Time - Clk Skew! a) Q7 x2 x0 q# I# R
即,Tclk= Tco+ B + Tsu-(E-C) Fmax =1/Tclk/ I& @% N0 q% K2 X6 h
    对比一下前面的介绍,只要理解了B 包含了两级寄存器之间的所有 logic 和 net 的延时就会发现与前面公式完全一致。
% k& t1 z4 H/ t. XJ Altera的其他基本时序概念! y% U$ ~1 i' N8 N
    Clock Setup Time (tsu) 要想正确采样数据,就必须使数据和使能信号在有效时钟沿到达前就准备好,所谓时钟建立时间就是指时钟到达前,数据和使能已经准备好的最小时间间隔。如下图所示:
, b) G2 `; d: j  B; A  Ohttp://www.dzkf.cn/upimg/userup/0810/2PZ5041I8.jpg
5 O" Q' P! [1 s0 g* f图6 tsu示意图6 j8 q- d1 c0 u
(注:这里定义Setup时间是站在同步时序整个路径上的,需要区别的是另一个概念Micro tsu。Micro tsu指的是一个触发器内部的建立时间,它是触发器的固有属性,一般典型值小于1~2ns。在Xilinx等的时序概念中,称Altera的Micro tsu为setup时间,用Tsetup表示,请大家区分一下。 回到Altera的时序概念,Altera的tsu定义如下: tsu = Data Delay – Clock Delay + Micro tsu)9 _* n  ]  U6 ?
2 b. t" l# v0 E% e
    Clock Hold Time (tH) 时钟保持时间是只能保证有效时钟沿正确采用的数据和使能信号的最小稳定时间。其定义如下图所示:4 h* Y2 S0 E* h- t- y
http://www.dzkf.cn/upimg/userup/0810/2PZ54QC4.jpg
( g0 M( y7 C3 {图7 tH示意图' d. D" U5 x' I. l3 q" r0 u+ C9 |
    定义的公式为: tH= Clock Delay – Data Delay + Micro tH/ r+ d, j# z. r3 @# N, J
注:其中Micro tH是指寄存器内部的固有保持时间,同样是寄存器的一个固有参数,典型值小于1~2ns。
; H0 h5 P9 w- H6 y. u2 X% j! h
0 ~9 x9 N# }* k& H    Clock-to-Output Delay(tco) 这个时间指的是当时钟有效沿变化后,将数据推倒同步时序路径的输出端的最小时间间隔。如下图所示:- n3 t0 U" X( y$ k% u1 k( `
http://www.dzkf.cn/upimg/userup/0810/2PZA641R.jpg
9 ~4 W% v/ V% A7 @. I图8 tco示意图
7 e9 ]! d% v3 D$ A5 mtco = Clock Delay + Micro tco + Data Delay
; Y* k% ?# `1 c9 d% j7 |(注:其中 Micor tco也是一个寄存器的固有属性,指的是寄存器相应时钟有效沿,将数据送到输出端口的内部时间参数。它与Xilinx的时序定义中,有一个概念叫Tcko是同一个概念。)0 D1 w& J+ J* r$ N4 _* Y

& u& Y7 |; r6 H* n5 P5 N4 L    Pin to Pin Delay (tpd) tpd指输入管脚通过纯组合逻辑到达输出管脚这段路径的延时,特别需要说明的是,要求输入到输出之间只有组合逻辑,才是tpd延时。$ q/ F! q9 N9 F  Y" ~3 q/ k
    Slack是表示设计是否满足时序的一个称谓,正的slack表示满足时序(时序的余量),负的slack表示不满足时序(时序的欠缺量)。slack的定义和图形如下图所示。' y" o+ r( X; I+ y4 |
http://www.dzkf.cn/upimg/userup/0810/2PZIB257.jpg  n6 n( W, u% H* Q# C9 E& B
图9 slack示意图5 ~" v7 ~' A7 t7 W* ?: S" e. b" t
Slack = Required clock period – Actual clock period! t+ D8 ?% w; l7 j. h$ b; V
Slack = Slack clock period – (Micro tCO+ Data Delay + Micro tSU)
5 J0 ?. w  w0 A6 n- O  h  y; L    Clock Skew指一个同源时钟到达两个不同的寄存器时钟端的时间偏移,如下图所示。
0 }5 D% j9 o, y  Phttp://www.dzkf.cn/upimg/userup/0810/2PZR12C0.jpg$ a: A( Q$ t# `
图10 clock skew示意图
 楼主| 发表于 2010-5-31 11:59 | 显示全部楼层
无论是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。 在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟和波动式时钟。多时钟系统能够包括上述四种时钟类型的任意组合。
* E4 e4 J5 A7 ~# T5 L6 |2 c- n! e# [6 E2 ]% n9 k

; f1 \2 D* W4 ^# U: o1.全局时钟
2 b( Q  y" d# W' F& d' i$ Q- t  ~% N: E! i8 k% [
对于一个设计项目来说,全局时钟(或同步时钟)是最简单和最可预测的时钟。在PLD/FPGA设计中最好的时钟方案是:由专用的全局时钟输入引脚驱动的单个主时钟去钟控设计项目中的每一个触发器。只要可能就应尽量在设计项目中采用全局时钟。PLD/FPGA都具有专门的全局时钟引脚,它直接连到器件中的每一个寄存器。这种全局时钟提供器件中最短的时钟到输出的延时。' Y# N8 T# H2 i2 {9 |2 R, R% U
. J  t/ u% L4 ?  c

+ q; n  D7 m1 e7 \0 ^% v' G3 Y图1 示出全局时钟的实例。图1 定时波形示出触发器的数据输入D[1..3]应遵守建立时间和保持时间的约束条件。建立和保持时间的数值在PLD数据手册中给出,也可用软件的定时分析器计算出来。如果在应用中不能满足建立和保持时间的要求,则必须用时钟同步输入信号(参看下一章“异步输入”)。
& a0 r1 o0 y1 x, o2 ?

http://www.pld.com.cn/advance/clock/clock.1.jpg

http://www.pld.com.cn/advance/clock/clock.2.jpg


9 g7 L$ p  ^# a- o! G图1 全局时钟

/ R9 h) t. Y% n( U" e
(最好的方法是用全局时钟引脚去钟控PLD内的每一个寄存器,于是数据只要遵守相对时钟的建立时间tsu和保持时间th)/ {" _0 ^" \8 E
 ) L  l3 [# [9 |4 y0 k
2.门控时钟* V; Y& \$ w% n) ]3 B
" e5 d% Q, n& W4 B
在许多应用中,整个设计项目都采用外部的全局时钟是不可能或不实际的。PLD具有乘积项逻辑阵列时钟(即时钟是由逻辑产生的),允许任意函数单独地钟控各个触发器。然而,当你用阵列时钟时,应仔细地分析时钟函数,以避免毛刺。
! d9 w. [, @8 A通常用阵列时钟构成门控时钟。门控时钟常常同微处理器接口有关,用地址线去控制写脉冲。然而,每当用组合函数钟控触发器时,通常都存在着门控时钟。如果符合下述条件,门控时钟可以象全局时钟一样可靠地工作:& f6 Q. [' _' T/ m; n
# ~" n) ~* t9 ^  ~6 t  n$ {9 t
1.驱动时钟的逻辑必须只包含一个“与”门或一个“或”门。如果采用任何附加逻在某些工作状态下,会出现竞争产生的毛刺。
4 \1 [0 F2 U/ l5 ~) z2.逻辑门的一个输入作为实际的时钟,而该逻辑门的所有其它输入必须当成地址或控制线,它们遵守相对于时钟的建立和保持时间的约束。9 E: s3 C# _4 _+ n9 m9 p

" F  Q( v/ n7 N+ Q6 m) C
9 P( z3 q2 J6 a" r5 e2 |% J图2和图3 是可靠的门控时钟的实例。在 图2 中,用一个“与”门产生门控时钟,在 图3 中,用一个“或”门产生门控时钟。在这两个实例中,引脚nWR和nWE考虑为时钟引脚,引脚ADD[o..3]是地址引脚,两个触发器的数据是信号D[1..n]经随机逻辑产生的。' w0 O! T" z7 Y% ]9 M

http://www.pld.com.cn/advance/clock/clock.3.jpg

http://www.pld.com.cn/advance/clock/clock.4.jpg

图2 “与”门门控时钟


! S8 j- U2 `$ ]: b* I. f1 u 

http://www.pld.com.cn/advance/clock/clock.5.jpg

5 T* s! d; K- F7 g9 p( R1 c- Q4 H
 

http://www.pld.com.cn/advance/clock/clock.6.jpg

图3 “或”门门控时钟


. [3 K6 p& r: X: V. o6 |5 j. j6 h9 W
图2和图3 的波形图显示出有关的建立时间和保持时间的要求。这两个设计项目的地址线必须在时钟保持有效的整个期间内保持稳定(nWR和nWE是低电平有效)。如果地址线在规定的时间内未保持稳定,则在时钟上会出现毛刺,造成触发器发生错误的状态变化。另一方面,数据引脚D[1..n]只要求在nWR和nWE的有效边沿处满足标准的建立和保持时间的规定。
# T% B5 K6 J% U+ D- ^& k4 v9 f* t; x) |; r* f
我们往往可以将门控时钟转换成全局时钟以改善设计项目的可靠性。图4 示出如何用全局时钟重新设计 图2 的电路。地址线在控制D触发器的使能输入,许多PLD设计软件,如MAX+PLUSII软件都提供这种带使能端的D触发器。当ENA为高电平时,D输入端的值被钟控到触发器中:当ENA为低电平时,维持现在的状态。

http://www.pld.com.cn/advance/clock/clock.7.jpg

* o) d- W, O; D
http://www.pld.com.cn/advance/clock/clock.8.jpg

图4 “与”门门控时钟转化成全局时钟' ^* |; a) m* Q6 v8 g

' x7 A! @$ i* D* f6 m
$ Q6 i' `3 e$ e

& j2 e2 p3 G3 J9 K5 o3 G
* d0 j( a+ T" e2 _0 j图4 中重新设计的电路的定时波形表明地址线不需要在nWR有效的整个期间内保持稳定;而只要求它们和数据引脚一样符合同样的建立和保持时间,这样对地址线的要求就少很多。
2 d# S) R' F( o, X: v! }* q) U" L6 c2 i/ Z
图 给出一个不可靠的门控时钟的例子。3位同步加法计数器的RCO输出用来钟控触发器。然而,计数器给出的多个输入起到时钟的作用,这违反了可靠门控时钟所需的条件之一。在产生RCO信号的触发器中,没有一个能考虑为实际的时钟线,这是因为所有触发器在几乎相同的时刻发生翻转。而我们并不能保证在PLD/FPGA内部QA,QB,QC到D触发器的布线长短一致,因此,如 图5 的时间波形所示,在器从3计到4时,RCO线上会出现毛刺(假设QC到D触发器的路径较短,即QC的输出先翻转)。/ l- R% r( u( n, n4 _( l

http://www.pld.com.cn/advance/clock/5-1.jpg

http://www.pld.com.cn/advance/clock/5-2.jpg


( k9 v' P4 W: a5 ^ 


, l3 `5 h- r) |& _2 }9 Q7 w, ~图5 不可靠的门控时钟) r2 _; f1 v4 i/ i* Z. H& G

7 [) Y8 @- R* d/ b; ]/ n(定时波形示出在计数器从3到4改变时,RCO信号如何出现毛刺的)


$ M; Y; o: J% s* p. P0 }1 t& {
' I5 Y& }1 k% n, c6 m0 e8 F" v- a* d: w$ W- H0 B; m$ r* }+ C
图6 给出一种可靠的全局钟控的电路,它是图5不可靠计数器电路的改进,RCO控制D触发器的使能输入。这个改进不需要增加PLD的逻辑单元。

http://www.pld.com.cn/advance/clock/6.jpg
) t# |" O. t! g8 n) V, g! ^
+ O2 j- [+ |3 o5 _. X5 ~3 C8 x. e图6 不可靠的门控时钟转换为全局时钟6 u& Z" G! @; f" k
: x. u' `0 i4 {1 N; E
(这个电路等效于图5电路,但却可靠的多)

7 {, F* d: D. I( N9 t$ _
* y- X! W/ Y8 ?  [- Z* S
3.多级逻辑时钟
7 G2 R" G: o  k$ g* q$ H8 ~; B
5 b  l( e7 b! z. y/ q8 Z+ ]当产生门控时钟的组合逻辑超过一级(即超过单个的“与”门或“或”门)时,证设计项目的可靠性变得很困难。即使样机或仿真结果没有显示出静态险象,但实际上仍然可能存在着危险。通常,我们不应该用多级组合逻辑去钟控PLD设计中的触发器。, I$ U) @5 }6 R: V! V: f( `

. B; m" ]( u4 {( T  z图7 给出一个含有险象的多级时钟的例子。时钟是由SEL引脚控制的多路选择器输出的。多路选择器的输入是时钟(CLK)和该时钟的2分频(DIV2)。由图7 的定时波形图看出,在两个时钟均为逻辑1的情况下,当SEL线的状态改变时,存在静态险象。险象的程度取决于工作的条件。 多级逻辑的险象是可以去除的。例如,你可以插入“冗余逻辑”到设计项目中。然而,PLD/FPGA编译器在逻辑综合时会去掉这些冗余逻辑,使得验证险象是否真正被去除变得困难了。为此,必须应寻求其它方法来实现电路的功能。
0 U7 F4 \9 g$ w0 P2 C/ D, u# { 

http://www.pld.com.cn/advance/clock/clock21.jpg

: H4 f" U# t& n( b: [
图7 有静态险象的多级时钟

0 M/ O7 h/ v+ O, Q+ k

  X3 n% a" e" Y! \3 g1 j图8 给出 图7 电路的一种单级时钟的替代方案。图中SEL引脚和DIV2信号用于使能D触发器的使能输入端,而不是用于该触发器的时钟引脚。采用这个电路并不需要附加PLD的逻辑单元,工作却可靠多了。 不同的系统需要采用不同的方法去除多级时钟,并没有固定的模式。" S( \; A! ]9 C
 

http://www.pld.com.cn/advance/clock/clock22.jpg

图7 无静态险象的多级时钟

(这个电路逻辑上等效于图7,但却可靠的多)


4 C  k' r: b+ l5 K0 b# h 
! f3 s8 {, w% M4.行波时钟
# Q6 C% H& ^$ ~! E) j* f- y% D5 ~; {* L
另一种流行的时钟电路是采用行波时钟,即一个触发器的输出用作另一个触发器的时钟输入。如果仔细地设计,行波时钟可以象全局时钟一样地可靠工作。然而,行波时钟使得与电路有关的定时计算变得很复杂。行波时钟在行波链上各触发器的时钟之间产生较大的时间偏移,并且会超出最坏情况下的建立时间、保持时间和电路中时钟到输出的延时,使系统的实际速度下降。: e# Z/ ~$ C  P/ Q* X1 g' ^
用计数翻转型触发器构成异步计数器时常采用行波时钟,一个触发器的输出钟控下一个触发器的输入,参看图9 同步计数器通常是代替异步计数器的更好方案,这是因为两者需要同样多的宏单元而同步计数器有较快的时钟到输出的时间。图10 给出具有全局时钟的同步计数器,它和 图9 功能相同,用了同样多的逻辑单元实现,却有较快的时钟到输出的时间。几乎所有PLD开发软件都提供多种多样的同步计数器。  _& p, i5 J- m1 |+ L) J
 

http://www.pld.com.cn/advance/clock/clock23.jpg

图9 行波时钟

( C  r* H; k4 A$ X  q; z5 n
 

http://www.pld.com.cn/advance/clock/clock24.jpg

图10 行波时钟转换成全局时钟
8 O1 H* _9 Q0 e8 o1 y, z$ a5 _(这个3位计数器是图9异步计数器的替代电路,它用了同样的3个宏单元,但有更短的时钟到输出的延时)

/ E# f& N$ V9 d# |  p! Q
5. 多时钟系统2 \$ u0 P  V3 O5 q- l

6 b- q& f# G4 e- @许多系统要求在同一个PLD内采用多时钟。最常见的例子是两个异步微处理器器之间的接口,或微处理器和异步通信通道的接口。由于两个时钟信号之间要求一定的建立和保持时间,所以,上述应用引进了附加的定时约束条件。它们也会要求将某些异步信号同步化。7 L) {) H4 @9 X0 Q9 M8 n

: v% Y" l- J1 H$ p" `4 I) f图11 给出一个多时钟系统的实例。CLK_A用以钟控REG_A,CLK_B用于钟控REG_B,由于REG_A驱动着进入REG_B的组合逻辑,故CLK_A的上升沿相对于CLK_B的上升沿有建立时间和保持时间的要求。由于REG_B不驱动馈到REG_A的逻辑,CLK_B的上升沿相对于CLK_A没有建立时间的要求。此外,由于时钟的下降沿不影响触发器的状态,所以CLK_A和CLK_B的下降沿之间没有时间上的要求。, 如图4,2.II所示,电路中有两个独立的时钟,可是,在它们之间的建立时间和保持时间的要求是不能保证的。在这种情况下,必须将电路同步化。图12 给出REG_A的值(如何在使用前)同CLK_B同步化。新的触发器REG_C由GLK_B触控,保证REG_G的输出符合REG_B的建立时间。然而,这个方法使输出延时了一个时钟周期。

http://www.pld.com.cn/advance/clock/clock25.jpg

图ll 多时钟系统% ]# p+ q0 s( w/ a/ s
(定时波形示出CLK_A的上升沿相对于CLK_B的上升沿有建立时间和保持时间的约束条件)

' i- L/ W/ I# b+ L5 e& n: D/ R' }
 

http://www.pld.com.cn/advance/clock/clock26.jpg

图12 具有同步寄存器输出的多时钟系统* t9 f" K; E8 ^% @, E
(如果CLK_A和CLK_B是相互独立的,则REG—A的输出必须在它馈送到1REG_B之前,用REG_C同步化)


* }+ V$ m$ W- H# ]/ a 
; N  V, [9 B/ Q, p- t  ^5 f8 C4 l在许多应用中只将异步信号同步化还是不够的,当系统中有两个或两个以上非同源时钟的时候,数据的建立和保持时间很难得到保证,我们将面临复杂的时间问题。最好的方法是将所有非同源时钟同步化。使用PLD内部的锁项环(PLL或DLL)是一个效果很好的方法,但不是所有PLD都带有PLL、DLL,而且带有PLL功能的芯片大多价格昂贵,所以除非有特殊要求,一般场合可以不使用带PLL的PLD。 这时我们需要使用带使能端的D触发器,并引入一个高频时钟。

 http://www.pld.com.cn/advance/clock/clock31.jpg

图13 不同源时钟

8 _3 O: ~; c" I; y. R
如图13所示,系统有两个不同源时钟,一个为3MHz,一个为5MHz,不同的触发器使用不同的时钟。为了系统稳定,我们引入一个20MHz时钟,将3M和5M时钟同步化,如图15所示。 20M的高频时钟将作为系统时钟,输入到所有触发器的的时钟端。3M_EN 和5M_EN将控制所有触发器的使能端。即原来接3M时钟的触发器,接20M时钟,同时3M_EN 将控制该触发器使能 ,原接5M时钟的触发器,也接20M时钟,同时5M_EN 将控制该触发器使能。 这样我们就可以将任何非同源时钟同步化。

+ O6 e$ m" a5 R5 Y( k: A" U0 D
http://www.pld.com.cn/advance/clock/clock32.jpg

图13 同步化任意非同源时钟

(一个DFF和后面非门,与门构成时钟上升沿检测电路)


; a6 u, T7 j5 T5 A- {

另外,异步信号输入总是无法满足数据的建立保持时间,容易使系统进入亚稳态,所以也建议设计者把所有异步输入都先经过双触发器进行同步化,详情可参阅这篇文章:Are Your PLD Metastable?


$ f. @. ^! @4 R* Q# X0 v( X * _/ ~: d0 D5 `3 h  c/ _
小结:稳定可靠的时钟是系统稳定可靠的重要条件,我们不能够将任何可能含有毛刺的输出作为时钟信号,并且尽可能只使用一个全局时钟,对多时钟系统要注意同步异步信号和非同源时钟。
发表于 2010-6-3 16:13 | 显示全部楼层
顶!!!!

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-5-19 02:23 , Processed in 0.064630 second(s), 26 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表