一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索
查看: 6415|回复: 2
收起左侧

xilinx DCM

[复制链接]
发表于 2010-4-24 16:45 | 显示全部楼层 |阅读模式

关于DCM的作用:


' @  H- r, s& w( f/ R
顾名思义DCM的作用就是管理,掌控时钟的专用模块。能完成分频,倍频,去skew,相移等功能。

关于DCM的结构&组成:

1 c; r& P& F: z0 Y
DCM
由四个独立的功能单元组成:


6 [; H# n0 }4 O  ?/ ^8 B+ X7 ~- H& I1
Delay-Locked Loop(DLL) 1 \4 c7 \# h$ o6 [' q6 i
2
Digital Frequency, l8 u& \* G5 C) q
Synthesizer (DFS)

' O+ q+ r! z+ I, I+ i& c2 ^5 l  ?

3Phase Shift(PS) ;
4 |: M, R. l; Y7 `; f, ?8 X4
Status Logic(SL);( h& K$ I( a" M# t
如图1所示

关于外部反馈&内部反馈的作用以及区别:

用反馈的目的类似于锁相环的原理,就是为了保证通过DCM调整后的时钟相位与输入对齐(即消除由于DCM时钟调整过程中的偏斜(Skew))

内部反馈是为了保证内部时钟与输入芯片的IO PAD上的时钟相位对齐,外部反馈是为了保证输出到外部的时钟(比如给SRAM)的相位与输入芯片的IO PAD上的时钟相位对齐。(内部反馈是不用自己连接的)

FPGA内部的IBUFGBUFG会给输入时钟带来延时,经过DCM后可以利用clk0输出(由于反馈的作用),这时输出相位与IPAD上的输入相位可以保持一致,相当于零延时BUF,在高速设计中很有用的。; r5 t8 l! E& d# `7 y

4 d9 _5 ~+ G( o" m( X, G
内部时钟就是FPGA内部用的,外部则是根据设计需求需要同时送到外部的时钟。

反馈的两者实现方式:一是CLK0反馈(即CLKIN的同频做为反馈信号),另一个是CLK2X反馈(即CLKIN2倍频做为反馈信号)。

另外如果仅仅使用CLKFXCLKFX180,可以不使用反馈。详见图23

关于DCMDLL的工作模式问题:9 H6 Q* {, A/ R# F$ N
DCM中的DLL有两种工作模式: 高频&低频模式.低频模式24MHz~180MHz,高频模式48MHz~360MHz(不同的器件可能不同).0 o' s- [, k& ?$ o8 W
在高频模式的时候,倍频使出管脚clk2Xclk2x180禁用,四相移位寄存器的输出CLK90CLK270也被禁用,如果分频因子不是个整数,则输出时钟的占空比不是50%。" B" ~* i- V1 e( H3 b5 j
如果仅仅CLKFX作为输出的话,则输入时钟可以是1MHz~210MHz,但是输出最小应该大于24MHz.

关于DCM中的复位问题:
4 y' I7 S1 w  m$ r: O
   DCM的复位RST是高电平有效的(这和我们平时接触到的低电平复位是不同的), 而且在仿真时要求复位信号的持续时间最少为输入时钟周期的三倍.

关于频率合成:+ A  _( E& e5 B# t0 @5 B

2 _0 h- Z9 G$ ^) C8 N4 ~/ Q8 Q
频率合成的输出CLKFXM/D×CLKINMCLK_MULTIPLY确定,DCLK_DIVIDE确定)。
$ R" E8 j6 W0 v! M8 v4 z  D两种设置方式:
8 v0 K1 A8 M& u
# S, E# w! i: V  i9 O4 j; }
一:填写输出所要得到的输出时钟CLKFX的值,工具自动计算MD的值。7 d- y6 l' ?% Z5 ?$ o; `
# e! A3 W4 S) p. X+ c" |
二:根据所需的输出设置MD的值。

关于相移:! x0 L* @6 q; M1 r! c
相移分为三种模式:一:NONE;二:固定相移;三:可变相移;+ p; f$ @, S, I0 Y! |1 I# [
NONE
(缺省):没有相移输入&输出同相,相当于固定相移设置成0/ N6 Y( {* `% V+ N! ?7 {7 y
固定相移:输出相对于输入延迟的相位值是固定的(相移值也是T/256,范围:-128~128);
7 G( X% W* u* i1 K' t: w5 c" T# {可变相移:如果相移使能管脚PSEN的值为高(PSEN每次只能是一个PSCLK周期),输出CLK0开始移相,并根据PSINCDEC的值判断是增加还是减小,CLK0会移动一个相位(相对于CLKIN的相位,移动的值为T/256,TCLKIN的周期),同时PSDONE会产生一个脉冲表示一次移相完成,只有等到LOCKED的输出为高时才表明被锁定,输出时钟有效。移相的范围为-6464(即-π/4~π/4),所以理论上可以得到与CLKIN任意相差的时钟信号,在产生信号延时方面可能会有用,有些具体的操作和要求可以参考用户手册。7 R& T; I$ W8 G( U9 [" A' @

( U$ g: L" W4 V6 r. B  y
从延迟周期的角度还可以分为:
  n: j3 v/ N* t/ X1 a1 U9 I/ O- I7 ~: g1 j4 g# |3 T# S$ l! ]
一:1/2周期相移(CLK0CLK180);二:1/4周期相移(CLK0CLK90CLK180CLK270);
) `$ S* i' P; K+ m+ k2 {; s. b1 G3 D8 ]- S% Z9 p  H: U" c0 O
三:固定相移(T/256);
& G  ?3 w# D  X3 P9 w9 Q5 ~$ p' Q: @7 ~四:动态可变相移(T/256)
( d( b4 c, q  ^9 D% N" B$ h4 X; E9 h6 P  X! z, h

关于偏斜(SKEW)调节:
6 d0 _; p9 O8 l9 L( Y

8 T9 r& N5 ~, b) w/ L- U最主要的两种运用:一:系统时钟同步;二:源同步:
/ A2 q0 H. G' r/ |9 v) o6 y; U2 u9 [+ k
所谓系统时钟同步(即共同时钟系统):同一数据路径中的驱动时钟是同一时钟资源,( _2 T, z. k  Q5 E) m- m% k7 ^

0 ]! ]& K( Q6 e- [/ X; O4 z4 C
所谓源同步系统:数据&源同步时钟信号是同步传输的,保证了两个时钟信号的飞行时间(飞行时间包括传播延迟&上升沿变化的时间)是一致的,理论上对系统时钟的最高频率没有任何限制,是高速数据传输的通用方法。

默认值为系统时钟同步方式,该方式会自动增加一小点延迟,目的是捕获数据时具有零保持时间。
0 F( w1 J7 g- S0 B% [
. y; U/ V& y* a$ V
源同步系统的时钟&数据是同步的,在采样的时候一般把时钟采到数据的中间,一边满足建立&保持时间。
, }% R0 G0 Q1 b

 楼主| 发表于 2010-4-24 16:50 | 显示全部楼层
Xilinx ISE中的DCM的使用(转)收藏, L- R% n* a/ d
| , c" A" `9 [; e- f& j9 h) r
目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元(IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。
5 t# V  [$ e3 _% V! U与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、BUFGMUX、BUFGDLL和DCM等,如图1所示。
8 B' e2 _2 a% x: ~5 b& p% e' Z2 p7 I! W) |' ]1 L4 }, N. [7 k
1. IBUFG即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲。所有从全局时钟管脚输入的信号必须经过IBUF元,否则在布局布线时会报错。IBUFG支持AGP、CTT、GTL、GTLP、HSTL、LVCMOS、LVDCI、LVDS、LVPECL、LVTTL、PCI、PCIX和SSTL等多种格式的IO标准。& ?- u# \' U3 f% d3 V: c* t
G 单
; c0 f: Z% D' d. e& O, T$ ^    2. IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。
7 _/ {9 I# `% V4 x! C/ N7 p1 G9 ^2 ]7 B6 }* y4 l# o4 K
3. BUFG是全局缓冲,它的输入是IBUFG的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小。
( p3 D2 ]5 i3 O! n( C! L. q% y   
; t$ r6 Z# i' r- e; P6 P- O4. BUFGCE是带有时钟使能端的全局缓冲。它有一个输入I、一个使能端CE和一个输出端O。只有当BUFGCE的使能端CE有效(高电平)时,BUFGCE才有输出。     ! Z3 c# i  }6 y/ r0 \
5. BUFGMUX是全局时钟选择缓冲,它有I0和I1两个输入,一个控制端S,一个输出端O。当S为低电平时输出时钟为I0,反之为I1。需要指出的是BUFGMUX的应用十分灵活,I0和I1两个输入时钟甚至可以为异步关系。
) ~4 e/ B! k9 w( K9 f) X8 _6. BUFGP相当于IBUG加上BUFG。
" ], e! x$ Q; `- B. @7. BUFGDLL是全局缓冲延迟锁相环,相当于BUFG与DLL的结合。BUFGDLL在早期设计中经常使用,用以完成全局时钟的同步和驱动等功能。随着数字时钟管理单元(DCM)的日益完善,目前BUFGDLL的应用已经逐渐被DCM所取代。
$ e# |: D2 ^& ?: N& u+ x8. DCM即数字时钟管理单元,主要完成时钟的同步、移相、分频、倍频和去抖动等。DCM与全局时钟有着密不可分的联系,为了达到最小的延迟和抖动,几乎所有的DCM应用都要使用全局缓冲资源。DCM可以用Xilinx ISE软件中的Architecture Wizard直接生成。
, N2 C/ ~% }+ _3 H+ o. Y: j: @" \" t- H$ w

; C6 r5 v" v2 Q% M全局时钟资源的使用方法 全局时钟资源的使用方法(五种)
9 C0 x/ E* ?0 m5 r# `* R
% m! z- w. l6 s5 c) O3 e
$ _4 _! u$ o8 A( ~  r& M: X! W1:IBUFG + BUFG的使用方法:. }: _$ A# M' w) v1 I! v: _! o
IBUFG后面连接BUFG的方法是最基本的全局时钟资源使用方法,由于IBUFG组合BUFG相当于BUFGP,所以在这种使用方法也称为BUFGP方法。+ S- ]) v& x9 d' b
. t4 J( y. {0 v3 I1 y) r6 ~0 s& L
2. IBUFGDS + BUFG的使用方法: ; F" D) a* @/ F0 ~
当输入时钟信号为差分信号时,需要使用IBUFGDS代替IBUFG。# g. K2 B, s4 `4 T: o5 Q
( R2 p( o2 _/ X: q' C* p+ c  Z4 J
3. IBUFG + DCM + BUFG的使用方法: - I4 B' g7 p, b
这种使用方法最灵活,对全局时钟的控制更加有效。通过DCM模块不仅仅能对时钟进行同步、移相、分频和倍频等变换,而且可以使全局时钟的输出达到无抖动延迟。
& q" z" s5 d7 Q% [7 s+ q
; C! D5 k4 K3 E- @$ {" ~$ L) ]4. Logic + BUFG的使用方法:
  R" C4 Z/ z9 h, \BUFG不但可以驱动IBUFG的输出,还可以驱动其它普通信号的输出。当某个信号(时钟、使能、快速路径)的扇出非常大,并且要求抖动延迟最小时,可以使用BUFG驱动该信号,使该信号利用全局时钟资源。但需要注意的是,普通IO的输入或普通片内信号进入全局时钟布线层需要一个固有的延时,一般在10ns左右,即普通IO和普通片内信号从输入到BUFG输出有一个约10ns左右的固有延时,但是BUFG的输出到片内所有单元(IOB、CLB、选择性块RAM)的延时可以忽略不计为“0”ns。! N. W. X) w9 S9 |7 |
8 k" ^8 K3 Q; y7 @6 k
5. Logic + DCM + BUFG的使用方法:
, w9 M2 h. z* J% F( PDCM同样也可以控制并变换普通时钟信号,即DCM的输入也可以是普通片内信号。使用全局时钟资源的注意事项 全局时钟资源必须满足的重要原则是:使用IBUFG或IBUFGDS的充分必要条件是信号从专用全局时钟管脚输入。换言之,当某个信号从全局时钟管脚输入,不论它是否为时钟信号,都必须使用IBUFG或IBUFGDS;如果对某个信号使用了IBUFG或IBUFGDS硬件原语,则这个信号必定是从全局时钟管脚输入的。如果违反了这条原则,那么在布局布线时会报错。这条规则的使用是由FPGA的内部结构决定的:IBUFG和IBUFGDS的输入端仅仅与芯片的专用全局时钟输入管脚有物理连接,与普通IO和其它内部CLB等没有物理连接。 另外,由于BUFGP相当于IBUFG和BUFG的组合,所以BUFGP的使用也必须遵循上述的原则。# {/ A% c: B3 h1 }
+ F0 ]: w8 k3 E) A* `
全局时钟资源的例化方法 & k7 Y6 {7 i& r7 `2 D' o
全局时钟资源的例化方法大致可分为两种:
2 D! `* ^. l4 [1 f- |/ ?9 q: S4 [一是在程序中直接例化全局时钟资源;
/ K. d0 Z& }; ^2 A  A1 W" @* `4 p( E5 f- X0 k
二是通过综合阶段约束或者实现阶段约束实现对全局时钟资源的使用;
* e4 X/ F' a2 x; L# J7 \第一种方法比较简单,用户只需按照前面讲述的5种全局时钟资源的基本使用方法编写代码或者绘制原理图即可。
: F- m( {/ A7 d2 I第二方法是通过综合阶段约束或实现阶段的约束完成对全局时钟资源的调用,这种方法根据综合工具和布局布线工具的不同而异。- S7 A! q* j  |* |; i9 H

7 Y  ~, w( {' N" bzz Xilinx DCM的使用
. j9 ?, \0 y, Z' o2008年12月27日 星期六 22:59
' m, E( N/ R$ z* y# Z( X+ r# G目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元(IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。
  x( b+ J7 c) p8 a    与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、BUFGMUX、BUFGDLL和DCM等,如图1所示。! M3 R. P& A) f% c. a1 z
9 g$ x: v& i8 L* V' }" M# A
IBUFG即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲。所有从全局时钟管脚输入的信号必须经过IBUF元,否则在布局布线时会报错。IBUFG支持AGP、CTT、GTL、GTLP、HSTL、LVCMOS、LVDCI、LVDS、LVPECL、LVTTL、PCI、PCIX和SSTL等多种格式的IO标准。
3 ]; x, [1 @# w6 X$ o% YIBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。
$ E) F/ U! r2 i0 [4 C" w/ @BUFG是全局缓冲,它的输入是IBUFG的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小。 . W. [8 j) w* I
BUFGCE是带有时钟使能端的全局缓冲。它有一个输入I、一个使能端CE和一个输出端O。只有当BUFGCE的使能端CE有效(高电平)时,BUFGCE才有输出。 ! D0 I' n* K9 ?  q" G, B) O( g
BUFGMUX是全局时钟选择缓冲,它有I0和I1两个输入,一个控制端S,一个输出端O。当S为低电平时输出时钟为I0,反之为I1。需要指出的是BUFGMUX的应用十分灵活,I0和I1两个输入时钟甚至可以为异步关系。
" J6 j1 }1 q( V1 I+ O/ ~* }BUFGP相当于IBUG加上BUFG。 + Y: {2 i) B* A! C" j+ O( ?
BUFGDLL是全局缓冲延迟锁相环,相当于BUFG与DLL的结合。BUFGDLL在早期设计中经常使用,用以完成全局时钟的同步和驱动等功能。随着数字时钟管理单元(DCM)的日益完善,目前BUFGDLL的应用已经逐渐被DCM所取代。 * E! P' c+ ~6 G; ?& s9 ?
DCM即数字时钟管理单元,主要完成时钟的同步、移相、分频、倍频和去抖动等。DCM与全局时钟有着密不可分的联系,为了达到最小的延迟和抖动,几乎所有的DCM应用都要使用全局缓冲资源。DCM可以用Xilinx ISE软件中的Architecture Wizard直接生成。
7 J- {9 j- _6 ?' l9 Y全局时钟资源的使用方法
0 S! L7 m/ W0 x5 T全局时钟资源的使用方法(五种)7 J; S5 |# r- O% S2 Z2 f

! K! C# G8 J  Q$ f0 M. VIBUFG + BUFG的使用方法:" P& F! C( S6 ^
IBUFG后面连接BUFG的方法是最基本的全局时钟资源使用方法,由于IBUFG组合BUFG相当于BUFGP,所以在这种使用方法也称为BUFGP方法。
$ z2 a' t0 U1 x# w, A. N9 vIBUFGDS + BUFG的使用方法:
# ~% O+ N0 T3 H8 g! q& \2 V当输入时钟信号为差分信号时,需要使用IBUFGDS代替IBUFG。 4 i& N" B9 W: t; v
IBUFG + DCM + BUFG的使用方法: ( \, K  k3 O+ {% }" k5 X
这种使用方法最灵活,对全局时钟的控制更加有效。通过DCM模块不仅仅能对时钟进行同步、移相、分频和倍频等变换,而且可以使全局时钟的输出达到无抖动延迟。 ) W2 y5 `" n; j1 k
Logic + BUFG的使用方法:
" z6 n2 h. o* [BUFG不但可以驱动IBUFG的输出,还可以驱动其它普通信号的输出。当某个信号(时钟、使能、快速路径)的扇出非常大,并且要求抖动延迟最小时,可以使用BUFG驱动该信号,使该信号利用全局时钟资源。但需要注意的是,普通IO的输入或普通片内信号进入全局时钟布线层需要一个固有的延时,一般在10ns左右,即普通IO和普通片内信号从输入到BUFG输出有一个约10ns左右的固有延时,但是BUFG的输出到片内所有单元(IOB、CLB、选择性块RAM)的延时可以忽略不计为“0”ns。 6 D) ^3 t  w- v8 c% r5 D: H/ O
Logic + DCM + BUFG的使用方法:' R* d' ^5 ^  {' g8 c1 m
DCM同样也可以控制并变换普通时钟信号,即DCM的输入也可以是普通片内信号。使用全局时钟资源的注意事项 全局时钟资源必须满足的重要原则是:使用IBUFG或IBUFGDS的充分必要条件是信号从专用全局时钟管脚输入。换言之,当某个信号从全局时钟管脚输入,不论它是否为时钟信号,都必须使用IBUFG或IBUFGDS;如果对某个信号使用了IBUFG或IBUFGDS硬件原语,则这个信号必定是从全局时钟管脚输入的。如果违反了这条原则,那么在布局布线时会报错。这条规则的使用是由FPGA的内部结构决定的:IBUFG和IBUFGDS的输入端仅仅与芯片的专用全局时钟输入管脚有物理连接,与普通IO和其它内部CLB等没有物理连接。 另外,由于BUFGP相当于IBUFG和BUFG的组合,所以BUFGP的使用也必须遵循上述的原则。 " `5 ~& J, |- X& A
全局时钟资源的例化方法
, t/ Z1 y% M/ Q5 Y$ ~4 X全局时钟资源的例化方法大致可分为两种: ; A9 o2 s& ?+ A7 q9 F8 P8 _
一是在程序中直接例化全局时钟资源;
: A; i% y& E6 P! [/ r二是通过综合阶段约束或者实现阶段约束实现对全局时钟资源的使用;
0 _* m  s* @9 v0 @, y7 ~第一种方法比较简单,用户只需按照前面讲述的5种全局时钟资源的基本使用方法编写代码或者绘制原理图即可。
: N1 c; K% K/ s; ]. o1 _第二方法是通过综合阶段约束或实现阶段的约束完成对全局时钟资源的调用,这种方法根据综合工具和布局布线工具的不同而异。
 楼主| 发表于 2010-4-24 16:54 | 显示全部楼层
这段时间一值在研究XILINX的ISE 发现 X的IC比A的IC价格相差不多但资源多得很 如DCM LVDS 之类的!!无它的我之前一值在用A的EPM240 和EPM570 是个CPLD 但X的是XC3S50AN是个FPGA 所以没法比。其实A的MAXII 本来就是FPGA的CPLD 所以还是超值的!!!

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-5-19 01:22 , Processed in 0.068229 second(s), 24 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表