一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索
查看: 7699|回复: 7
收起左侧

about Quartus II TimeQuest Timing Analyzer PDF documentation

[复制链接]
发表于 2011-4-1 15:26 | 显示全部楼层 |阅读模式
本帖最后由 kenson 于 2011-4-1 15:34 编辑 & ^0 S, C1 I) G8 q0 E% v0 Y
! v) |; Y) ^3 T/ S5 `2 `$ U! F
SDC 命令
9 }( Q9 ~. z6 F mnl_sdctmq.pdf (846.7 KB, 下载次数: 687) . S$ T( C, s# k8 |2 Y# f. Z
0 p! h' \4 |( ]3 L) r4 W/ p/ F9 H9 w
timequest cookbook
* t$ k1 ]9 Y; } mnl_timequest_cookbook.pdf (312.89 KB, 下载次数: 910)
( A) Y2 m/ f& O) T5 Y. w  b' B4 V
wp-01047-performing-equivalent-timing-analysis-between-timequest-and-trace.pdf (1.25 MB, 下载次数: 742) 8 F4 Y, v2 e! C
7 c4 n! b( U% E0 h. A
qts_qii53024.pdf (1.19 MB, 下载次数: 516) " J# x- H1 D5 q. X' P; ]

* e, C  y0 S5 A: T* z
' k7 F4 L( L) h; r0 V; w
: P/ T  D; N7 E' ~" c# U% t  q6 X3 {
5 E% Q6 v9 k6 l0 Q
0 ]  b  A* `) t( s8 n0 {

+ L1 f8 i+ H6 z; E- A3 M; K( g8 |请不要回复此贴
4 R7 p0 _' n% q/ ^; L3 e$ v此贴是我自己学习记录用的
 楼主| 发表于 2011-4-3 12:43 | 显示全部楼层
本帖最后由 kenson 于 2011-4-3 12:56 编辑
; A% a$ q$ s+ K4 p9 ^
" m8 Z$ j9 `1 \7 NFPGA设计中关于分频时钟的考虑, g3 w; x, M5 z
FPGA设计中经常会将某个时钟进行分频处理,分频后的信号作为另一个时钟信号驱动设计中的其他模块。看起来这是一种很简单的方法,但是有经验的工程师都不会推荐直接将分频后的信号作为时钟信号使用。为什么呢? 原因主要有以下几个方面。 1. 分频后的时钟相对于原来的时钟有一个延迟,如果用这个时钟去锁存由原时钟驱动的信号,就有可能发生timing error,导致setup time或者hold time不满足。8 @  z7 ?) L+ I9 l2 z- D

/ ]; f- ^8 [8 k3 o" F) [
& h8 v, \, t+ S  @- y2. 如果分频后的时钟没有采用全部时钟的布线资源,就会使得该时钟到达FPGA内部各个逻辑块的skew相差较大,给时序分析工具带来很大的困难。如果没有在时序约束文件中将分频得到的始终和原时钟相关联,工具不会分析分频后时钟域和原时钟域之间的路径。 3. 即便是时序分析工具可以完成设计的时序分析,由于这些skew在电压、温度变化的时候也会发生变化,会引入更多的随机因素,从而有可能使得设计的稳定性变差,经常发生一些随机出现的错误,使得时序难以收敛,延误开发的进度。 一般来说如果必须要进行时钟的分频,可以采用时钟使能的方式,另外在Xlinx的FPGA中可以采用DCM实现0 skew的时钟分频。当然Altera的器件可能也有类似的硬件资源。 下面是一个简单的用时钟使能实现2分频的Verilog HDL例子:$ R  Y/ \6 r$ D. P( I
  1. reg  clk_en;, i/ |- l! @5 N* }: b- V6 {. ^. G
  2. always @ (posedge clk)    //clk 为原时钟
    3 S% x( H4 g1 @  P7 `  M4 Q
  3. begin  C7 i4 P  m( k! J0 W! D+ g9 [7 k& J. F
  4.         clk_en <= ~clk_en; //clk_en在每个clk的上升沿翻转一次,相当于clk的二分频1 u+ O2 P7 ^9 [- c2 U1 D
  5. end
    . w; J5 x/ O0 u6 t; `( }# C7 Z1 P
  6. //时钟使能方式
    - ~; F; Y' u( O5 l
  7. always @(posedge clk)
    - ]: n9 g* ]9 U) ]
  8. begin
    ; L) V6 S5 }, _' A: d3 x. w, S
  9.         if(clk_en)/ ]+ K/ N8 a* t  r; I
  10.                 begin
    # `+ H3 F+ |5 K0 q  N
  11.                 //二分频时钟驱动的逻辑
    $ a) K0 w5 E: P7 a3 c
  12.                 end# U. F, x/ K7 Y. n7 T: _
  13.         else
    8 `- L& Y( \, G+ R! D8 X
  14.                 begin
    # @2 k0 g0 M) g; ]  t
  15.                 end
    4 h3 }# P7 X) u" _0 a
  16.         end
    ; s* Z6 X* m3 K% I3 z4 {
  17. 2 {+ C$ z+ N8 W
复制代码
! ?/ f/ k; E6 {, o6 Y* u# G6 z
& c3 b) B" `: W. U

/ Y9 V! }" r: H2 |1 n' N* \0 D( Y, G# Y8 A
4 i. w. C1 x& [5 K, y
  1. //=============直接分频方式===============
    / t% K) J. d( p
  2. reg clk_div2;
    / k9 H( \6 H) N
  3. always @ (posedge clk) //clk 为原时钟& [: L5 y. T- F8 C3 j' d
  4. begin
    * a2 }! W* x& s. Y: O; k7 ~" m
  5. clk_div2 <= ~clk_div2; //clk_div2在每个clk的上升沿翻转一次,相当于clk的二分频. R1 L) `% _7 y1 a0 U! I3 z  X
  6. end( B' u  o; d; r6 p8 _' N
  7. always @(posedge clk_div2)
    " l% K! M& `2 t
  8. begin5 q+ n6 B1 E/ Y- q+ [
  9. //二分频时钟驱动的逻辑- Q" L+ M! ~) Y. o* G
  10. end5 C& n6 q9 I* W
  11. . r- F; e8 e: |( H" b6 n

  12. 1 y! l& |9 i! o& E2 j' n
复制代码
 楼主| 发表于 2011-4-3 13:06 | 显示全部楼层
本帖最后由 kenson 于 2011-4-3 16:26 编辑 - Y5 s; n2 _, o

5 M0 G+ X1 K& U8 V  x+ d时钟3分频电路
; {. ~4 ^+ l' {2 Z  S5 d" }
/ V3 d# i+ r3 a7 m" U
  1. module clk_3_odd (clk,reset,clk_out); //占空比为50%" D, u5 l% D9 `5 T( T  v( L$ q
  2. input clk, reset;
    $ N: ?  }( Y5 ]2 [
  3. output clk_out;
    3 R4 p, }7 G& p5 z1 g
  4. reg[1:0] state;
    7 ?0 a8 {) G3 }6 W$ F0 a
  5. reg clk1; , A$ c- T: W" B9 _7 N) W2 G# N
  6. parameter s0=2'b00;
    : s* e' A$ J) O
  7. s1=2'b01;! t0 k3 F2 u& T; e' R3 s0 |
  8. s2=2'b11;! J( `$ e3 |8 A# K' P* y, B5 T( ~
  9. always @(posedge clk or negedge reset)
    ; M/ b% x& x/ U
  10. if(!reset) & A5 ?( U: d7 l" u
  11. state<=s0;
    ( R1 C' j+ ^. y6 \% M: K
  12. else
    # E" @! k& l: a0 {4 J8 j
  13. case(state)
    / E7 E2 G" i3 T1 {, E$ _6 A
  14. s0:state<=s1;
    ! Z5 X8 i% H* v' {8 }9 J  i
  15. s1:state<=s2; 4 S$ O2 C5 u: X- z) _, O* U
  16. s2:state<=s0;
    - f9 W1 `% r$ M4 c  ?8 [
  17. default:state<=s0;
    ; i9 U7 L) T2 J9 C* ^4 M
  18. endcase
    + \7 N2 A$ J& F9 Z
  19. always @(negedge clk or negedge reset) , r- a! c: l& b/ ^
  20. if(!reset) $ [' ]# q3 n" n- H
  21. clk1<=1'b0;
    ) p% I2 @! u/ `
  22. else
    / j, `8 a8 u0 a6 q
  23. clk1<=state[0];
    0 a0 G: h9 T/ j
  24. assign clk_out=state[0]&clk1;
    * @3 O: E# u" ?
  25. endmodule
复制代码
 楼主| 发表于 2011-4-3 15:58 | 显示全部楼层
标签: FPGA  时钟  + r  ~& K4 W9 d- h- j& c
. L1 F+ W" y: x+ O
FPGA中的时钟使能(转)
5 u7 K2 H) e1 B9 r" U* S4 w  时钟使能电路是同步设计的基本电路。在很多设计中,虽然内部不同模块的处理速度不同,但由于这些时钟是同源的,可以将它们转化为单一时钟处理。在ASIC中可以通过STA约束让分频始终和源时钟同相,但FPGA由于器件本身和工具的限制,分频时钟和源时钟的Skew不容易控制(使用锁相环分频是个例外),难以保证分频时钟和源时钟同相,因此推荐的方法是使用时钟使能,通过使用时钟使能可以避免时钟“满天飞”的情况,进而避免了不必要的亚稳态发生,在降低设计复杂度的同时也提高了设计的可靠性。
) T& V4 l, D7 j, @
6 L: U) @! [5 \- H8 X    带使能端的D触发器,比一般D触发器多了使能端,只有在使能信号ENA有效时,数据才能从D端被打入D触发器,否则Q端输出不改变。. R* E" S% h) o2 A, d$ w. E3 s/ K9 b
/ A* G6 p" y. h# _' v
    我们可以用带使能端的D触发器来实现时钟使能的功能。
5 J  n4 v, H( O- k- T8 S& j. L+ S9 Y$ P) x  A8 |. X4 |
. A% j- q& R5 G3 A
verilog模型举例: v8 F( P) Q% P4 O7 d
3 ?+ }" n* M+ ]8 E, D" I8 w& {
    在某系统中,前级数据输入位宽为8位,而后级的数据输出位宽为32,我们需要将8bit数据转换为32bit,由于后级的处理位宽为前级的4倍,因此后级处理的时钟频率也将下降为前级的1/4,若不使用时钟使能,则要将前级的时钟进行4分频来作后级处理的时钟。这种设计方法会引入新的时钟域,处理上需要采取多时钟域处理的方式,因而在设计复杂度提高的同时系统的可靠性也将降低。为了避免以上问题,我们采用了时钟使能以减少设计复杂度。1 z; K; z/ `6 n. }' M' ?! b' p
* ]. n! B0 g' y- @! _
例1:采用时钟使能& T) k. Y( N( z5 f
1 F7 q5 \" }& `! c9 N' Z
module clk_en(clk, rst_n, data_in, data_out);/ D' h  h0 n5 ~3 I1 f
input clk;; P" f1 I7 a; m+ i& a
input rst_n;
* H: g' k  z$ D  a( f1 w" a3 n+ vinput [7:0] data_in;: `" k! X- N* a9 j
output [31:0] data_out;
7 p- Q- u- ?& a5 c( X' |. \# V* p1 }6 \
reg [31:0] data_out;1 ]0 ^& W  c& L1 T0 {5 [; e
reg [31:0] data_shift;
; B6 f7 \4 T# S/ x  ureg [1:0] cnt;- n8 F+ N( b- k
reg clken;- U& ~2 N& P" I* V

0 F7 i# w. o  I) r, Z6 Galways @(posedge clk or negedge rst_n)3 }  u5 q1 Q: j) z# F# Q/ T% w6 D
begin9 j1 n+ o5 {2 R- i6 D& a. G
   if (!rst_n)! j4 O  x/ H* S% x: M' Y* [
      cnt <= 0;. _$ {$ b+ a, n6 g  [
   else  q3 Q: s2 U# \: l
      cnt <= cnt + 1;# U- q6 f" p! h, e  H, M* a
end
8 z2 O3 ^! @! T, W* J4 A- M8 A! Z5 E6 j' S2 I7 H* c  `* f: F
always @(posedge clk or negedge rst_n)
7 R& ^2 U" _8 `% L. q, r* K1 ibegin
4 f. h) d6 `4 T- z9 O& V   if (!rst_n)
6 k! c2 \; x6 c      clken <= 0;
$ w0 P5 v* a# I# k   else if (cnt == 2'b01)
' a: z, _: Z0 ?. @/ ]      clken <= 1;  b3 ~" [$ v/ }* Y8 w4 y- K
   else
0 I# m* n$ p0 Y      clken <= 0;
3 X; H; C. a7 h" hend
8 T/ @# G4 }% n9 O) ^3 h7 f6 b- n7 }& [+ l# c% A% @/ h
always @(posedge clk or negedge rst_n)  m: i0 p( h( D# T4 |
begin
+ b$ K5 h+ _, V$ w   if (!rst_n)# E3 P" ^7 @( T) t' \& U
      data_shift <= 0;
/ _& _7 l/ X5 A6 S6 h' @   else / u/ l/ C  g+ }" K
      data_shift <= {data_shift[23:0],data_in};
2 U# m& t) F% u# [end2 p7 c; k2 G: H# @( S" E& {& Y

; H% T; G  g! k' h3 Ualways @(posedge clk or negedge rst_n)
5 K3 e( M: d6 o; E. @5 Kbegin! |1 F4 E6 e* \% H  ]
   if (!rst_n)  }% `! v+ S* p' q2 ?
      data_out <= 0;9 J3 _; A, ~3 w/ V$ M: i
   else if (clken == 1'b1)
, [7 l3 `6 |9 q" S2 q5 G      data_out <= data_shift;$ {3 S: c9 q: [1 M8 H
end
  o& ]0 z# m3 k  p3 Y) |* s7 X  Q% x" t
endmodule
 楼主| 发表于 2011-4-3 16:28 | 显示全部楼层
例2:采用分频方法5 m8 v% i  m& v% z
) O! b$ j+ m. `" }* b% g7 [
module clk_en1(clk, rst_n, data_in, data_out);7 U$ \9 U8 s) p, t4 j% ~* B# m6 W
input clk;
0 j! ]/ Z* ]7 s$ f! tinput rst_n;
+ Q- X" X& x( j" P' A/ Iinput [7:0] data_in;
# f  D/ e$ r; Z: V3 Loutput [31:0] data_out;0 a* p* s. L  Y8 `9 p6 t! s

* d" ^2 g7 i% b+ J( F9 |7 r8 O* \reg [31:0] data_out;
/ z  x  C: O7 L$ r" a2 Q% ~reg [31:0] data_shift;
; t1 o8 P0 }" R8 ?7 V; |3 J' Hreg [1:0] cnt;
( M3 ]9 O9 b, q5 H6 D' l8 b9 Bwire clken;
, H4 y7 @9 ~6 E, i9 `) `/ J, L! Y* X* E2 l1 q4 d
always @(posedge clk or negedge rst_n)
: ?* [: l& f; J1 ]begin
! `$ Q! K2 K* E! k+ `   if (!rst_n)- z2 y( J9 |$ m; `' |& g) \. f* J
      cnt <= 0;
  q( |, u5 x0 V& X* F  F: r   else
5 b# }; S; h" y8 ~+ i      cnt <= cnt + 1;
2 z/ B' T% H5 Y- s) tend
: \3 u- \4 X8 n& E" i
( a% Z2 @6 [/ v) ^1 zassign clken = cnt[1];& f$ b; U# @7 n8 y) L5 k% v! g
# v' m6 X  M1 p4 a2 H0 L
always @(posedge clk or negedge rst_n)9 ?/ @" T! B/ {* ^8 H( g0 v
begin/ Y9 I( o/ s2 p5 l' L
   if (!rst_n); H8 P! j  Z5 _% R* o/ p6 \& e
      data_shift <= 0;
* E8 C# t) R  y' ]6 j7 N   else : Y* k& F" I" a" v; y1 o0 ~$ _: W
      data_shift <= {data_shift[23:0],data_in};
3 s7 T( {; U7 Jend( r# g! C) i7 s( u: }0 ]! K

  C, Z" a2 D; b2 ralways @(posedge clken or negedge rst_n)
( ?, ]4 ?' R4 z6 ~' Ybegin6 v: H# W9 X+ E: y
   if (!rst_n)
1 e+ E! U9 O) n7 g! ?+ M      data_out <= 0;
' k# v! f* c; a- f8 K* _   else
# ~* ^$ ^2 |+ p1 u$ O* {      data_out <= data_shift;% Z, _4 s) i% F0 z9 Z& |- n# L7 K
end2 U3 P. V/ d9 }
. g8 x- `6 [. \9 y) u
endmodule
 楼主| 发表于 2011-4-3 16:36 | 显示全部楼层
FPGA时钟问题集合
# d* L; @7 |8 ~' M; x2 a
' H( n6 z8 U9 L; f6 \  ^& E7 ~
: C8 Z: }: r. a$ I- M* B& H无沦是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟和波动式时钟。多时钟系统能够包括上述四种时钟类型的任意组合。
* W) p. {: t6 ?, j3 F0 T3 p9 l  s7 U5 D, x

1 i- K; g+ @/ Z8 f1.全局时钟3 q' \4 {8 L2 j+ h% b
+ F* Q- p6 m7 e$ m( s( U
对于一个设计项目来说,全局时钟(或同步时钟)是最简单和最可预测的时钟。在PLD/FPGA设计中最好的时钟方案是:由专用的全局时钟输入引脚驱动的单个主时钟去钟控设计项目中的每一个触发器。只要可能就应尽量在设计项目中采用全局时钟。PLD/FPGA都具有专门的全局时钟引脚,它直接连到器件中的每一个寄存器。这种全局时钟提供器件中最短的时钟到输出的延时。
* |  r9 [( c$ B6 ~- I
$ v6 k: `1 c/ O; Y- h: i5 }; K* F) z( W5 }
图1 示出全局时钟的实例。图1 定时波形示出触发器的数据输入D[1..3]应遵守建立时间和保持时间的约束条件。建立和保持时间的数值在PLD数据手册中给出,也可用软件的定时分析器计算出来。如果在应用中不能满足建立和保持时间的要求,则必须用时钟同步输入信号(参看下一章“异步输入”)。
3 s0 l) g1 U/ v- @% @$ v

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_1.jpg

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_2.jpg


) J8 V/ m5 _( Z( B6 f5 l" p7 S图1 全局时钟


+ ^8 g3 k& r' L" z0 O+ Y(最好的方法是用全局时钟引脚去钟控PLD内的每一个寄存器,于是数据只要遵守相对时钟的建立时间tsu和保持时间th)
0 O6 U! A6 l, I" A. F / a  f" k% Q+ w" m$ ?3 ~+ K0 l
2.门控时钟
5 P" j( W5 `7 y% ^
1 \0 f' y7 d3 j  F. D在许多应用中,整个设计项目都采用外部的全局时钟是不可能或不实际的。PLD具有乘积项逻辑阵列时钟(即时钟是由逻辑产生的),允许任意函数单独地钟控各个触发器。然而,当你用阵列时钟时,应仔细地分析时钟函数,以避免毛刺。
9 {2 T# a+ P6 T通常用阵列时钟构成门控时钟。门控时钟常常同微处理器接口有关,用地址线去控制写脉冲。然而,每当用组合函数钟控触发器时,通常都存在着门控时钟。如果符合下述条件,门控时钟可以象全局时钟一样可靠地工作:. i0 q- c1 e( V( H. u+ z% e
; }; W1 H' J  u/ V% y
1.驱动时钟的逻辑必须只包含一个“与”门或一个“或”门。如果采用任何附加逻在某些工作状态下,会出现竞争产生的毛刺。$ I) K4 e) p# j: c
2.逻辑门的一个输入作为实际的时钟,而该逻辑门的所有其它输入必须当成地址或控制线,它们遵守相对于时钟的建立和保持时间的约束。2 t. S' H( o6 H1 q8 }) q- ~
% w. C& b8 F2 y) x: J  {7 G1 E& V6 T
8 l  q* w/ d$ s- Z* y
图 2和图3 是可靠的门控时钟的实例。在 图2 中,用一个“与”门产生门控时钟,在 图3 中,用一个“或”门产生门控时钟。在这两个实例中,引脚nWR和nWE考虑为时钟引脚,引脚ADD[o..3]是地址引脚,两个触发器的数据是信号 D[1..n]经随机逻辑产生的。
* m2 ~" H* J  {# j( R/ V$ S$ X5 {

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_3.jpg

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_4.jpg

图2 “与”门门控时钟


* H! Q" n% ?- i3 |  F 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_5.jpg


% H9 q9 ^5 s) |5 l8 Z 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_6.jpg

图3 “或”门门控时钟

3 _0 ?! N  y- O. _0 ]

9 f2 a1 Q! Y* J图2和图3 的波形图显示出有关的建立时间和保持时间的要求。这两个设计项目的地址线必须在时钟保持有效的整个期间内保持稳定(nWR和nWE是低电平有效)。如果地址线在规定的时间内未保持稳定,则在时钟上会出现毛刺,造成触发器发生错误的状态变化。另一方面,数据引脚D[1..n]只要求在nWR和nWE的有效边沿处满足标准的建立和保持时间的规定。
: E9 p* E$ H  P+ x, P5 t# [+ {# n& O: o7 v7 [
我们往往可以将门控时钟转换成全局时钟以改善设计项目的可靠性。图4 示出如何用全局时钟重新设计 图2 的电路。地址线在控制D触发器的使能输入,许多PLD设计软件,如MAX+PLUSII软件都提供这种带使能端的D触发器。当ENA为高电平时,D输入端的值被钟控到触发器中:当ENA为低电平时,维持现在的状态。

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_7.jpg

7 F" `: J5 w( N0 C/ Z
http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_8.jpg

图4 “与”门门控时钟转化成全局时钟
6 p. g0 J! ?! O1 b6 e- i) S

: A. G# T' E2 z9 h- g+ K. M
9 Y. T: ^  ?6 v

' d- N# Y9 L) Y! S' q% J
' p$ p. }2 N6 k: U- T* U2 ~# ?图4 中重新设计的电路的定时波形表明地址线不需要在nWR有效的整个期间内保持稳定;而只要求它们和数据引脚一样符合同样的建立和保持时间,这样对地址线的要求就少很多。
$ m3 I( ]; u! ~% D+ B6 Z) F4 }. r0 G7 ~5 T5 s2 r9 I% ?6 ]
图给出一个不可靠的门控时钟的例子。3位同步加法计数器的RCO输出用来钟控触发器。然而,计数器给出的多个输入起到时钟的作用,这违反了可靠门控时钟所需的条件之一。在产生RCO信号的触发器中,没有一个能考虑为实际的时钟线,这是因为所有触发器在几乎相同的时刻发生翻转。而我们并不能保证在 PLD/FPGA内部QA,QB,QC到D触发器的布线长短一致,因此,如 图5 的时间波形所示,在器从3计到4时,RCO线上会出现毛刺(假设QC到D触发器的路径较短,即QC的输出先翻转)。
! J( z) S% a8 M1 X

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_9.jpg

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_10.jpg


+ t, f9 m; x" }5 D, K/ t* U4 e 

/ n, B. k: W- }" x9 L( M
图5 不可靠的门控时钟' k. |5 T+ u0 r$ Q

; G; v& |3 f/ @, L  L(定时波形示出在计数器从3到4改变时,RCO信号如何出现毛刺的)


% t; ~$ D4 |1 f+ v
3 P4 x& j# C7 e) ~+ ?7 F1 V: H6 K5 Z+ [  w3 g
图6 给出一种可靠的全局钟控的电路,它是图5不可靠计数器电路的改进,RCO控制D触发器的使能输入。这个改进不需要增加PLD的逻辑单元。

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_11.jpg
3 ]1 [, s5 Q3 |  P0 W. Y8 M( W2 a# d  u- z- d
图6 不可靠的门控时钟转换为全局时钟
1 ^6 M. \7 E! {8 @" ~% U. O2 v/ m2 e7 K  g& m3 |
(这个电路等效于图5电路,但却可靠的多)

/ f& G: q; ]  M* x! ~7 @
1 T8 h7 [1 @9 e2 [. c4 n4 h, B
3.多级逻辑时钟
$ B# v  u: f2 N5 E% }1 t" A( W1 I$ F2 k1 Y2 W  I; y
当产生门控时钟的组合逻辑超过一级(即超过单个的“与”门或“或”门)时,证设计项目的可靠性变得很困难。即使样机或仿真结果没有显示出静态险象,但实际上仍然可能存在着危险。通常,我们不应该用多级组合逻辑去钟控PLD设计中的触发器。
) z6 Q6 z. z6 G& K* q* i1 g2 c) ~" J$ h+ a8 B4 E
图 7 给出一个含有险象的多级时钟的例子。时钟是由SEL引脚控制的多路选择器输出的。多路选择器的输入是时钟(CLK)和该时钟的2分频(DIV2)。由图7 的定时波形图看出,在两个时钟均为逻辑1的情况下,当SEL线的状态改变时,存在静态险象。险象的程度取决于工作的条件。多级逻辑的险象是可以去除的。例如,你可以插入“冗余逻辑”到设计项目中。然而,PLD/FPGA编译器在逻辑综合时会去掉这些冗余逻辑,使得验证险象是否真正被去除变得困难了。为此,必须应寻求其它方法来实现电路的功能。
9 d: R: E& u0 j0 i: d, m7 y' ] 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_12.jpg

4 f5 r' X. _7 p
图7 有静态险象的多级时钟


+ k7 I, @+ x6 D2 j- i- j6 d6 a/ }  m6 X, Y/ N" ]" Z# K
图8 给出 图7 电路的一种单级时钟的替代方案。图中SEL引脚和DIV2信号用于使能D触发器的使能输入端,而不是用于该触发器的时钟引脚。采用这个电路并不需要附加 PLD的逻辑单元,工作却可靠多了。 不同的系统需要采用不同的方法去除多级时钟,并没有固定的模式。
- R1 m7 J' C3 {; z- }- l 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_13.jpg

图7 无静态险象的多级时钟

(这个电路逻辑上等效于图7,但却可靠的多)

# Z2 X" z% }' ]2 p% \1 ~
 ) G# h2 t; _% _( ~
4.行波时钟$ |) a! d3 X3 A! l

' I8 R& K( N1 m  q9 K6 B: W( t另一种流行的时钟电路是采用行波时钟,即一个触发器的输出用作另一个触发器的时钟输入。如果仔细地设计,行波时钟可以象全局时钟一样地可靠工作。然而,行波时钟使得与电路有关的定时计算变得很复杂。行波时钟在行波链上各触发器的时钟之间产生较大的时间偏移,并且会超出最坏情况下的建立时间、保持时间和电路中时钟到输出的延时,使系统的实际速度下降。  X( D9 P1 N" R( R5 e
用计数翻转型触发器构成异步计数器时常采用行波时钟,一个触发器的输出钟控下一个触发器的输入,参看图9 同步计数器通常是代替异步计数器的更好方案,这是因为两者需要同样多的宏单元而同步计数器有较快的时钟到输出的时间。图10 给出具有全局时钟的同步计数器,它和 图9 功能相同,用了同样多的逻辑单元实现,却有较快的时钟到输出的时间。几乎所有PLD开发软件都提供多种多样的同步计数器。
$ I: k! d1 N: z* }2 A* N 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_14.jpg

图9 行波时钟

1 [* v# u0 k5 u6 ^, r; j
 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_15.jpg

图10 行波时钟转换成全局时钟
5 X1 p- a& Y4 B* {+ f(这个3位计数器是图9异步计数器的替代电路,它用了同样的3个宏单元,但有更短的时钟到输出的延时)

) s/ B4 {! {9 j" H6 a  g( l1 C# ^
5. 多时钟系统
+ ?6 b* s4 S6 V2 x- X/ @
9 E2 D- ]2 [) W$ R5 ^/ p

# P0 B5 @* K7 ^. {  a$ m4 W5 }: W# D/ @# G- z
* f8 N% T: }5 I4 Q: m
! w  M. }$ o1 J# G  a: @
% x0 k5 ]" q3 q5 f9 I
- z+ P* e% R4 I/ V6 d5 R- Y

' a& M2 A' r/ V# R2 L5 W9 h许多系统要求在同一个PLD内采用多时钟。最常见的例子是两个异步微处理器器之间的接口,或微处理器和异步通信通道的接口。由于两个时钟信号之间要求一定的建立和保持时间,所以,上述应用引进了附加的定时约束条件。它们也会要求将某些异步信号同步化。9 \& X7 s- c9 @: @0 v9 P0 M: p

2 E, ?* [* b  j$ e# Z8 o图11 给出一个多时钟系统的实例。CLK_A用以钟控REG_A,CLK_B用于钟控REG_B,由于REG_A驱动着进入REG_B的组合逻辑,故CLK_A 的上升沿相对于CLK_B的上升沿有建立时间和保持时间的要求。由于REG_B不驱动馈到REG_A的逻辑,CLK_B的上升沿相对于CLK_A没有建立时间的要求。此外,由于时钟的下降沿不影响触发器的状态,所以CLK_A和CLK_B的下降沿之间没有时间上的要求。,如图4,2.II所示,电路中有两个独立的时钟,可是,在它们之间的建立时间和保持时间的要求是不能保证的。在这种情况下,必须将电路同步化。图12 给出REG_A的值(如何在使用前)同CLK_B同步化。新的触发器REG_C由GLK_B触控,保证REG_G的输出符合REG_B的建立时间。然而,这个方法使输出延时了一个时钟周期。
. ]- i0 m% g& X% C6 C5 X& g

/ r8 T2 s" v& f

. D/ K# E/ Q- _$ H3 @
1 o- _7 `: R. x3 N3 P2 n( z
1 U& C. X/ g2 K: u; c- F2 U

) q5 g1 X1 T$ u( O0 b/ c

/ n+ Y5 L5 P! J4 T! u

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_16.jpg

图ll 多时钟系统  t  R. F: O* D! W: Z
(定时波形示出CLK_A的上升沿相对于CLK_B的上升沿有建立时间和保持时间的约束条件)


% S- z* Z$ X6 y' F+ P4 f- D 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_17.jpg

图12 具有同步寄存器输出的多时钟系统
0 I! W1 F  a/ k. e5 M# ](如果CLK_A和CLK_B是相互独立的,则REG—A的输出必须在它馈送到1REG_B之前,用REG_C同步化)


. `9 d/ u% a5 _. `+ X& a 
% \  l4 E3 f  o+ G+ A, s在许多应用中只将异步信号同步化还是不够的,当系统中有两个或两个以上非同源时钟的时候,数据的建立和保持时间很难得到保证,我们将面临复杂的时间问题。最好的方法是将所有非同源时钟同步化。使用PLD内部的锁项环(PLL或DLL)是一个效果很好的方法,但不是所有PLD都带有PLL、DLL,而且带有 PLL功能的芯片大多价格昂贵,所以除非有特殊要求,一般场合可以不使用带PLL的PLD。 这时我们需要使用带使能端的D触发器,并引入一个高频时钟。

 http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_18.jpg

图13 不同源时钟

, x3 o+ q& {' l" H, |2 ]9 H
如图13所示,系统有两个不同源时钟,一个为3MHz,一个为 5MHz,不同的触发器使用不同的时钟。为了系统稳定,我们引入一个20MHz时钟,将3M和5M时钟同步化,如图15所示。 20M的高频时钟将作为系统时钟,输入到所有触发器的的时钟端。3M_EN 和5M_EN将控制所有触发器的使能端。即原来接3M时钟的触发器,接20M时钟,同时3M_EN 将控制该触发器使能,原接5M时钟的触发器,也接20M时钟,同时5M_EN 将控制该触发器使能。 这样我们就可以将任何非同源时钟同步化。

" b/ r& j" \6 N: L, [# X- P
http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_19.jpg

图13 同步化任意非同源时钟

(一个DFF和后面非门,与门构成时钟上升沿检测电路)


, \  Q, T  c+ `! b5 u" z

另外,异步信号输入总是无法满足数据的建立保持时间,容易使系统进入亚稳态,所以也建议设计者把所有异步输入都先经过双触发器进行同步化,详情可参阅这篇文章:Are Your PLD Metastable?

& f- P5 r  P; W4 c
 ; j& R8 w" u/ X5 E1 V
小结:稳定可靠的时钟是系统稳定可靠的重要条件,我们不能够将任何可能含有毛刺的输出作为时钟信号,并且尽可能只使用一个全局时钟,对多时钟系统要注意同步异步信号和非同源时钟。

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-6-1 15:09 , Processed in 0.056989 second(s), 30 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表