一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索

推荐主题

作者 回复/查看 最后发表
1664点阵 翻页钟 attachment heatlevel agree  ...2 changqm 已绑定手机 2012-2-28 15:13 146476 tjuyrkt 2019-12-27 22:07
ESP8266-01模块+WS2812灯带制作6位模拟数码管 attach_img LBQ691477940 已绑定手机 2018-3-31 16:41 52063 tangyingtcy 2019-12-18 08:23
应该算比较漂亮的点阵了,有点装逼的指针电压表  ...23 zhou19852 已绑定手机 2015-9-15 17:06 296320 tangyingtcy 2019-12-16 21:49
16x32RGB 点阵 attachment  ...2 落日心也碎 已绑定手机 2015-8-31 23:05 182884 tangyingtcy 2019-12-15 11:10
本人写的3264点阵控制函数,可在任意坐标显示,任意颜色,还可以产生闪烁效果 attach_img sunny20 已绑定手机 2015-12-17 13:20 82707 tangyingtcy 2019-12-14 09:12
分享隔壁论坛 分屏时间+音乐频谱程序 attach_img agree  ...2 DIY 已绑定手机 2015-6-1 22:14 164222 tangyingtcy 2019-12-14 08:55
我的32X64双色万年历,有亮度自动调节功能和字体颜色变换 attach_img heatlevel agree  ...23456..11 lizhu198248 2012-2-11 11:20 10027248 tangyingtcy 2019-12-14 08:49
用在别的接口的屏上翻页钟图片和程序 attachment heatlevel  ...2345 litchiate 已绑定手机 2009-2-3 19:58 4719979 win_shi 2019-12-9 21:50
分享一个32*80 LED点阵万年历程序 attach_img  ...234 sdf15937 已绑定手机 2015-6-2 14:05 315373 tjuyrkt 2019-11-16 16:58
>STM32全新打造128x64像素全彩点阵万年历 attach_img  ...23 LBQ691477940 已绑定手机 2019-1-6 12:22 225684 时间冲淡一切 2019-11-2 10:09
这08接口屏怎么驱动? attach_img 风中有你 2019-9-16 09:38 73218 风中有你 2019-9-24 09:39
全彩led屏怎么弄灰度,想显示一张照片 我的理想和现实 已绑定手机 2019-4-2 14:27 51920 风中有你 2019-9-16 09:27
把你的点阵玩弄于手掌之间之基础篇! attach_img heatlevel agree  ...23 xfdr0805 2013-1-7 22:36 298426 dongjian 2019-2-23 22:30
3264点阵时钟显示求助 bbc2008 已绑定手机 2013-10-27 10:46 52576 benli 2019-1-29 11:31
农历有十一月三一吗? swsszx 已绑定手机 2019-1-6 19:27 91542 tian_000 2019-1-8 10:42
闲来无事也做了个表盘,半成品有些细节还没有优化。 attach_img  ...23 yyhxxnr 2015-11-24 08:31 264412 apin123 2019-1-5 23:13
请桃源客求助 新人帖 周先生5566 已绑定手机 2018-12-15 11:45 31413 ploestar 2018-12-16 17:39
矿坛 ibm 客显VFD attachment  ...2 changqm 已绑定手机 2018-5-15 13:06 134435 kingtyj 2018-5-19 11:34
关于STC89C52点阵屏刷新显示问题,求教 attach_img  ...2 Manjaro_y 已绑定手机 2018-4-20 10:14 152693 375437339 2018-4-24 15:32
求助下PT6314的驱动? a463637283 已绑定手机 2018-2-13 23:55 21099 honggun 2018-2-14 11:31
下一页 »

快速发帖

还可输入 80 个字符

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-3-28 17:35 , Processed in 0.032941 second(s), 17 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

返回顶部 返回版块